CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 行业应用软件 交通/航空行业

文件名称:STM8S903KT

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2014-12-22
  • 文件大小:
    3.86mb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

功能接口说明:

1、PF4 学习模式,对地短接进入学习模式,学习完成后断开退出学习模式

2、TB 防盗输入

3、PA3 巡航选择 键盘进入OK

4、PA2 1:1助力信号 OK

5、PA1 ABS刹车选择

6、PD1 开关限速挡对地有效 OK

7、PB4(DC) 倒车接口 OK

8、PC4 开关三档超速、对地有效(只有在有霍尔状态下有效) OK

9、PD2(HA),PD3(HB),PD4(HC) 电机霍尔信号

10、PC5(BKL) 低电平刹车信号 OK

11、PC5(BKH) 高电平刹车信号 OK

12、5V 转把电源

13、PB3-TS(SP) 转把信号 OK

14、VK+ 电门锁

支持有霍尔无霍尔双模式和48V36V四合1

-Function Interface Descr iption: 1, PF4 learning mode, short to ground into the learning mode, learning off exit learn mode after the completion of 2, TB alarm input 3, PA3 cruise Keyboard enter OK 4, PA2 1: 1 power signal OK 5, PA1 ABS brakes choose 6, PD1 switching speed gear for efficiently OK 7, PB4 (DC) reversing interface OK 8, PC4 third gear overdrive switch on efficiently (only valid in the Hall state) OK 9, PD2 ( HA), PD3 (HB), PD4 (HC) motor Hall signal 10, PC5 (BKL) low brake signal OK 11, PC5 (BKH) high-level brake signal OK 12,5V turn the power 13, PB3- TS (SP) turn signals OK 14, VK+ power door locks supports dual mode without Hall of Hall and 48V36V Courtyard 1
(系统自动生成,下载前可以参看下载内容)

下载文件列表

ST903打包/6GIF/0dc786bd960590c69ec376ef.htm
ST903打包/6GIF/6_PCB/Backup of STM8S903_6.PCB
ST903打包/6GIF/6_PCB/Preview STM8S903_6.PPC
ST903打包/6GIF/6_PCB/Previous Backup of STM8S903_6.PCB
ST903打包/6GIF/6_PCB/STM8S903K3T6_MOTO.NET
ST903打包/6GIF/6_PCB/STM8S903_6.DDB
ST903打包/6GIF/6_PCB/STM8S903_6.PCB
ST903打包/6GIF/884817555.htm
ST903打包/6GIF/chengxu_code/ad.c
ST903打包/6GIF/chengxu_code/ad.h
ST903打包/6GIF/chengxu_code/checkres.spy
ST903打包/6GIF/chengxu_code/clk.c
ST903打包/6GIF/chengxu_code/Debug/ad.ls
ST903打包/6GIF/chengxu_code/Debug/ad.o
ST903打包/6GIF/chengxu_code/Debug/functions.ls
ST903打包/6GIF/chengxu_code/Debug/functions.o
ST903打包/6GIF/chengxu_code/Debug/gpio.elf
ST903打包/6GIF/chengxu_code/Debug/gpio.lkf
ST903打包/6GIF/chengxu_code/Debug/gpio.map
ST903打包/6GIF/chengxu_code/Debug/gpio.s19
ST903打包/6GIF/chengxu_code/Debug/gpio.sm8
ST903打包/6GIF/chengxu_code/Debug/io_init.ls
ST903打包/6GIF/chengxu_code/Debug/io_init.o
ST903打包/6GIF/chengxu_code/Debug/main.ls
ST903打包/6GIF/chengxu_code/Debug/main.o
ST903打包/6GIF/chengxu_code/Debug/mc_hall.ls
ST903打包/6GIF/chengxu_code/Debug/mc_hall.o
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_clk.ls
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_clk.o
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_opt.ls
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_opt.o
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_port.ls
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_port.o
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_vtimer.ls
ST903打包/6GIF/chengxu_code/Debug/mc_stm8s_vtimer.o
ST903打包/6GIF/chengxu_code/Debug/mc_vtimer.ls
ST903打包/6GIF/chengxu_code/Debug/mc_vtimer.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_clk.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_clk.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_exti.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_exti.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_flash.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_flash.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_gpio.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_gpio.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_i2c.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_i2c.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_it.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_it.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_itc.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_itc.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_iwdg.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_iwdg.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_rst.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_rst.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_spi.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_spi.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_tim1.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_tim1.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_tim5.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_tim5.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_tim6.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_tim6.o
ST903打包/6GIF/chengxu_code/Debug/stm8s_wwdg.ls
ST903打包/6GIF/chengxu_code/Debug/stm8s_wwdg.o
ST903打包/6GIF/chengxu_code/Debug/stm8_interrupt_vector.ls
ST903打包/6GIF/chengxu_code/Debug/stm8_interrupt_vector.o
ST903打包/6GIF/chengxu_code/Debug/time.ls
ST903打包/6GIF/chengxu_code/Debug/time.o
ST903打包/6GIF/chengxu_code/Debug/time1_bldc.ls
ST903打包/6GIF/chengxu_code/Debug/time1_bldc.o
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_adc1.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_adc2.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_awu.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_beep.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_can.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_clk.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_conf.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_exti.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_flash.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_gpio.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_i2c.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_itc.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_iwdg.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_lib.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_rst.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_spi.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_tim1.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_tim2.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_tim3.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_tim4.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_tim5.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_tim6.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_type.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_uart1.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_uart2.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_uart3.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/inc/stm8s_wwdg.h
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_adc1.c
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_adc2.c
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_awu.c
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_beep.c
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_can.c
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_clk.c
ST903打包/6GIF/chengxu_code/FWLIB1.1/1.1.1/src/stm8s_exti.c
ST903打包/6GIF

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com