CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:library

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2015-04-09
  • 文件大小:
    748.14kb
  • 已下载:
    1次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

AD公司最新的器件HDL模型库,官方可下。包括

AD6676 AD7175 AD9122 AD9144 AD9152 AD9234 AD9361 AD9680 AD9739a等,需要的可以下载-AD device HDL library
(系统自动生成,下载前可以参看下载内容)

下载文件列表

library/
library/axi_ad6676/
library/axi_ad6676/axi_ad6676.v
library/axi_ad6676/axi_ad6676_channel.v
library/axi_ad6676/axi_ad6676_constr.xdc
library/axi_ad6676/axi_ad6676_if.v
library/axi_ad6676/axi_ad6676_ip.tcl
library/axi_ad6676/axi_ad6676_pnmon.v
library/axi_ad7175/
library/axi_ad7175/ad7175_if.v
library/axi_ad7175/ad_datafmt.v
library/axi_ad7175/axi_ad7175.v
library/axi_ad7175/axi_ad7175_channel.v
library/axi_ad7175/axi_ad7175_ip.tcl
library/axi_ad7175/clk_div.v
library/axi_ad7175/up_adc_common.v
library/axi_ad9122/
library/axi_ad9122/axi_ad9122.v
library/axi_ad9122/axi_ad9122_channel.v
library/axi_ad9122/axi_ad9122_constr.xdc
library/axi_ad9122/axi_ad9122_core.v
library/axi_ad9122/axi_ad9122_if.v
library/axi_ad9122/axi_ad9122_ip.tcl
library/axi_ad9144/
library/axi_ad9144/axi_ad9144.v
library/axi_ad9144/axi_ad9144_channel.v
library/axi_ad9144/axi_ad9144_constr.xdc
library/axi_ad9144/axi_ad9144_core.v
library/axi_ad9144/axi_ad9144_if.v
library/axi_ad9144/axi_ad9144_ip.tcl
library/axi_ad9152/
library/axi_ad9152/axi_ad9152.v
library/axi_ad9152/axi_ad9152_channel.v
library/axi_ad9152/axi_ad9152_constr.xdc
library/axi_ad9152/axi_ad9152_core.v
library/axi_ad9152/axi_ad9152_if.v
library/axi_ad9152/axi_ad9152_ip.tcl
library/axi_ad9234/
library/axi_ad9234/axi_ad9234.v
library/axi_ad9234/axi_ad9234_channel.v
library/axi_ad9234/axi_ad9234_constr.xdc
library/axi_ad9234/axi_ad9234_if.v
library/axi_ad9234/axi_ad9234_ip.tcl
library/axi_ad9234/axi_ad9234_pnmon.v
library/axi_ad9250/
library/axi_ad9250/axi_ad9250.v
library/axi_ad9250/axi_ad9250_alt.v
library/axi_ad9250/axi_ad9250_channel.v
library/axi_ad9250/axi_ad9250_hw.tcl
library/axi_ad9250/axi_ad9250_if.v
library/axi_ad9250/axi_ad9250_ip.tcl
library/axi_ad9250/axi_ad9250_pnmon.v
library/axi_ad9265/
library/axi_ad9265/axi_ad9265.v
library/axi_ad9265/axi_ad9265_channel.v
library/axi_ad9265/axi_ad9265_if.v
library/axi_ad9265/axi_ad9265_ip.tcl
library/axi_ad9265/axi_ad9265_pnmon.v
library/axi_ad9361/
library/axi_ad9361/axi_ad9361.v
library/axi_ad9361/axi_ad9361_alt_lvds_rx.v
library/axi_ad9361/axi_ad9361_alt_lvds_tx.v
library/axi_ad9361/axi_ad9361_dev_if.v
library/axi_ad9361/axi_ad9361_dev_if_alt.v
library/axi_ad9361/axi_ad9361_hw.tcl
library/axi_ad9361/axi_ad9361_ip.tcl
library/axi_ad9361/axi_ad9361_rx.v
library/axi_ad9361/axi_ad9361_rx_channel.v
library/axi_ad9361/axi_ad9361_rx_pnmon.v
library/axi_ad9361/axi_ad9361_tx.v
library/axi_ad9361/axi_ad9361_tx_channel.v
library/axi_ad9434/
library/axi_ad9434/axi_ad9434.v
library/axi_ad9434/axi_ad9434_core.v
library/axi_ad9434/axi_ad9434_if.v
library/axi_ad9434/axi_ad9434_ip.tcl
library/axi_ad9434/axi_ad9434_pnmon.v
library/axi_ad9467/
library/axi_ad9467/axi_ad9467.v
library/axi_ad9467/axi_ad9467_channel.v
library/axi_ad9467/axi_ad9467_if.v
library/axi_ad9467/axi_ad9467_ip.tcl
library/axi_ad9467/axi_ad9467_pnmon.v
library/axi_ad9625/
library/axi_ad9625/axi_ad9625.v
library/axi_ad9625/axi_ad9625_channel.v
library/axi_ad9625/axi_ad9625_constr.xdc
library/axi_ad9625/axi_ad9625_if.v
library/axi_ad9625/axi_ad9625_ip.tcl
library/axi_ad9625/axi_ad9625_pnmon.v
library/axi_ad9643/
library/axi_ad9643/axi_ad9643.v
library/axi_ad9643/axi_ad9643_channel.v
library/axi_ad9643/axi_ad9643_constr.xdc
library/axi_ad9643/axi_ad9643_if.v
library/axi_ad9643/axi_ad9643_ip.tcl
library/axi_ad9643/axi_ad9643_pnmon.v
library/axi_ad9652/
library/axi_ad9652/axi_ad9652.v
library/axi_ad9652/axi_ad9652_channel.v
library/axi_ad9652/axi_ad9652_if.v
library/axi_ad9652/axi_ad9652_ip.tcl
library/axi_ad9652/axi_ad9652_pnmon.v
library/axi_ad9671/
library/axi_ad9671/axi_ad9671.v
library/axi_ad9671/axi_ad9671_channel.v
library/axi_ad9671/axi_ad9671_hw.tcl
library/axi_ad9671/axi_ad9671_if.v
library/axi_ad9671/axi_ad9671_ip.tcl
library/axi_ad9671/axi_ad9671_pnmon.v
library/axi_ad9680/
library/axi_ad9680/axi_ad9680.v
library/axi_ad9680/axi_ad9680_channel.v
library/axi_ad9680/axi_ad9680_constr.xdc
library/axi_ad9680/axi_ad9680_if.v
library/axi_ad9680/axi_ad9680_ip.tcl
library/axi_ad9680/axi_ad9680_pnmon.v
library/axi_ad9739a/
library/axi_ad9739a/axi_ad9739a.v
library/axi_ad9739a/axi_ad9739a_channel.v
library/axi_ad9739a/axi_ad9739a_constr.xdc
library/axi_ad9739a/axi_ad9739a_core.v
library/axi_ad9739a/axi_ad9739a_if.v
library/axi_ad9739a/axi_ad9739a_ip.tcl
library/axi_clkgen/
library/axi_clkgen/axi_clkgen.v
library/axi_clkgen/axi_clkgen_ip.tcl
library/axi_dmac/
library/axi_dmac/2d_transfer.v
library/axi_dmac/address_generator.v
library/axi_dmac/axi_dmac.v
library/axi_dmac/axi_dmac_constr.tcl
library/axi_dmac/axi_dmac_hw.tcl
library/axi_dmac/axi_dmac_ip.tcl
library/axi_dmac/axi_register_slice.v
library/axi_dmac/axi_repack.v
library/axi_dmac/data_mover.v
library/axi_dmac/dest_axi_mm.v
library/axi_dmac/dest_axi_stream.v
library/axi_dmac/dest_fifo_inf.v
library/axi_dmac/inc_id.h
library/axi_dmac/request_arb.v
library/axi_dmac/request_generator.v
library/axi_dmac/resp.h
library/axi_dmac/response_generator.v
library/axi_dmac/response_handler.v
library/axi_dmac/splitter.v
library/axi_dmac/src_axi_mm.v
library/axi_dmac/src_axi_stream.v
library/axi_dmac/src_fifo_inf.v
library/axi_fifo/
library/ax

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com