CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 通讯编程

文件名称:ddstest

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2008-10-13
  • 文件大小:
    1.06mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

实现dds的testbench,很有帮助
(系统自动生成,下载前可以参看下载内容)

下载文件列表

ddstest/ddstest.qpf
ddstest/ddstest.qsf
ddstest/db/mux_6eb.tdf
ddstest/db/ddstest.db_info
ddstest/db/ddstest.map.qmsg
ddstest/db/ddstest.fit.qmsg
ddstest/db/ddstest.rtlv_sg_swap.cdb
ddstest/db/ddstest.sim.qmsg
ddstest/db/mux_lcb.tdf
ddstest/db/mux_fcb.tdf
ddstest/db/ddstest.cmp.qrpt
ddstest/db/ddstest.cbx.xml
ddstest/db/ddstest.hif
ddstest/db/ddstest.cmp.cdb
ddstest/db/ddstest.sgdiff.cdb
ddstest/db/ddstest.(0).cnf.cdb
ddstest/db/ddstest.(0).cnf.hdb
ddstest/db/ddstest.sgdiff.hdb
ddstest/db/ddstest.sim.hdb
ddstest/db/ddstest.sim.vwf
ddstest/db/ddstest.(1).cnf.cdb
ddstest/db/ddstest.(1).cnf.hdb
ddstest/db/ddstest.(2).cnf.cdb
ddstest/db/altsyncram_aas.tdf
ddstest/db/ddstest.(2).cnf.hdb
ddstest/db/add_sub_4fg.tdf
ddstest/db/ddstest.(3).cnf.cdb
ddstest/db/ddstest.(3).cnf.hdb
ddstest/db/ddstest.(4).cnf.cdb
ddstest/db/ddstest.(4).cnf.hdb
ddstest/db/ddstest.(5).cnf.cdb
ddstest/db/ddstest.(5).cnf.hdb
ddstest/db/ddstest.(6).cnf.cdb
ddstest/db/ddstest.(6).cnf.hdb
ddstest/db/ddstest.(7).cnf.cdb
ddstest/db/ddstest.(7).cnf.hdb
ddstest/db/ddstest.(8).cnf.cdb
ddstest/db/ddstest.(8).cnf.hdb
ddstest/db/ddstest.(9).cnf.cdb
ddstest/db/ddstest.(9).cnf.hdb
ddstest/db/ddstest.(10).cnf.cdb
ddstest/db/ddstest.(10).cnf.hdb
ddstest/db/ddstest.(11).cnf.cdb
ddstest/db/ddstest.(11).cnf.hdb
ddstest/db/ddstest.(12).cnf.cdb
ddstest/db/ddstest.(12).cnf.hdb
ddstest/db/ddstest.(13).cnf.cdb
ddstest/db/ddstest.(13).cnf.hdb
ddstest/db/ddstest.(14).cnf.cdb
ddstest/db/ddstest.(14).cnf.hdb
ddstest/db/ddstest.(15).cnf.cdb
ddstest/db/ddstest.(15).cnf.hdb
ddstest/db/ddstest.(16).cnf.cdb
ddstest/db/altsyncram_9as.tdf
ddstest/db/ddstest.(16).cnf.hdb
ddstest/db/ddstest.(17).cnf.cdb
ddstest/db/ddstest.(17).cnf.hdb
ddstest/db/ddstest.hier_info
ddstest/db/ddstest.asm.qmsg
ddstest/db/ddstest.(18).cnf.cdb
ddstest/db/ddstest.tan.qmsg
ddstest/db/ddstest.rtlv_sg.cdb
ddstest/db/ddstest.sim.rdb
ddstest/db/altsyncram_kbs.tdf
ddstest/db/ddstest.psp
ddstest/db/ddstest.dbp
ddstest/db/altsyncram_c8s.tdf
ddstest/db/ddstest.(18).cnf.hdb
ddstest/db/ddstest.(19).cnf.cdb
ddstest/db/ddstest.syn_hier_info
ddstest/db/ddstest.(19).cnf.hdb
ddstest/db/ddstest.rtlv.hdb
ddstest/db/ddstest.pre_map.hdb
ddstest/db/ddstest.pre_map.cdb
ddstest/db/ddstest.eda.qmsg
ddstest/db/ddstest.map.cdb
ddstest/db/ddstest.map.hdb
ddstest/db/ddstest.sld_design_entry.sci
ddstest/db/ddstest.sld_design_entry_dsc.sci
ddstest/db/ddstest.eco.cdb
ddstest/db/add_sub_7fg.tdf
ddstest/db/ddstest.signalprobe.cdb
ddstest/db/ddstest.cmp.tdb
ddstest/db/ddstest.cmp.rdb
ddstest/db/ddstest.cmp.hdb
ddstest/db/ddstest.cmp0.ddb
ddstest/db/ddstest.eds_overflow
ddstest/db/ddstest.fnsim.qmsg
ddstest/db/ddstest.sim.qrpt
ddstest/db/ddstest.rpp.qmsg
ddstest/db/ddstest.sgate.rvd
ddstest/db/add_sub_6fg.tdf
ddstest/db/ddstest.sgate_sm.rvd
ddstest/db/ddstest.fnsim.hdb
ddstest/db
ddstest/co.vhd
ddstest/co.inc
ddstest/co.bsf
ddstest/add_waveforms.html
ddstest/add_wave0.jpg
ddstest/add.vhd
ddstest/add.inc
ddstest/add.bsf
ddstest/ddstest.bdf
ddstest/ddstest.map.rpt
ddstest/ddstest.flow.rpt
ddstest/ddstest.map.summary
ddstest/ddstest.map.eqn
ddstest/ddstest.done
ddstest/ddstest.fit.eqn
ddstest/ddstest.pin
ddstest/ddstest.fit.rpt
ddstest/ddstest.fit.summary
ddstest/ddstest.sof
ddstest/ddstest.pof
ddstest/ddstest.asm.rpt
ddstest/ddstest.tan.summary
ddstest/ddstest.tan.rpt
ddstest/ddstest.sim.rpt
ddstest/ddstest.qws
ddstest/sinwave.mif
ddstest/ff.vhd
ddstest/ff.inc
ddstest/ff.bsf
ddstest/ddstest.mif
ddstest/simulation/modelsim/ddstest_modelsim.xrf
ddstest/simulation/modelsim/ddstest.vho
ddstest/simulation/modelsim/ddstest_vhd.sdo
ddstest/simulation/modelsim/ddstest_run_msim_gate_vhdl.do
ddstest/simulation/modelsim/msim_transcript
ddstest/simulation/modelsim/vhdl_libs/lpm/_info
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_components/_primary.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_components/_vhdl.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_components
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_common_conversion/_primary.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_common_conversion/_vhdl.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_common_conversion/body.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_common_conversion/body.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_common_conversion
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_hint_evaluation/_primary.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_hint_evaluation/_vhdl.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_hint_evaluation/body.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_hint_evaluation/body.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_hint_evaluation
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_device_families/_primary.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_device_families/_vhdl.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_device_families/body.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_device_families/body.asm
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_device_families
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_constant/_primary.dat
ddstest/simulation/modelsim/vhdl_libs/lpm/lpm_constant/lpm_syn.dat
ddstest/simulati

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com