CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 系统编程

文件名称:DTMB_Modulator1111

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2016-10-04
  • 文件大小:
    24.33mb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

本总体方案完成国标GB20600-2006数字电视地面广播传输系统基带部分功能。 输入数据码流经过扰码器(随机化)、前向纠错编码(FEC),然后进行比特流到符号流的星座映射,再进行交织后形成基本数据块,基本数据块与系统信息组合(复用)后并经过帧体数据处理形成帧体,帧体与相应的帧头(PN序列)复接为信号帧(组帧),经过基带后处理转换为输出信号(8MHz带宽内)。该信号经变频转换为射频信号(UHF和VHF频段范围内)。用C程序设计了图形交互界面,可以在界面上直接设置调制参数。-The overall program completion GB GB20600-2006 digital terrestrial television broadcasting transmission systems baseband function. The input data stream through the scrambler (randomization), forward error correction (the FEC), then the symbol constellation mapping bitstream to the stream, and then after forming the basic block interleaving, the basic data block and information combined system ( multiplexing) after data processing and after the frame body forms a frame body, frame body with a corresponding header (PN sequence) multiplexed signal frame (framing), after post-processing baseband signal is converted to an output (internal 8MHz bandwidth). The signal is downconverted to a radio frequency signal (in the VHF and UHF frequency range). C program designed graphical interface, you can set modulation parameters directly on the screen.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

DTMB_Modulator/
DTMB_Modulator/Backup/
DTMB_Modulator/Backup/Modulator.sln
DTMB_Modulator/Backup/Modulator.v11.suo
DTMB_Modulator/Common/
DTMB_Modulator/Common/ConfigParam.h
DTMB_Modulator/Common/GUI.cpp
DTMB_Modulator/Common/GUI.h
DTMB_Modulator/Common/basic_maths.cpp
DTMB_Modulator/Common/basic_maths.h
DTMB_Modulator/DataSource.dat
DTMB_Modulator/Modulator 9.17.mcfg
DTMB_Modulator/Modulator.chm
DTMB_Modulator/Modulator.dsp
DTMB_Modulator/Modulator.dsw
DTMB_Modulator/Modulator.exe
DTMB_Modulator/Modulator.mcfg
DTMB_Modulator/Modulator.ncb
DTMB_Modulator/Modulator.opensdf
DTMB_Modulator/Modulator.opt
DTMB_Modulator/Modulator.reg
DTMB_Modulator/Modulator.sdf
DTMB_Modulator/Modulator.sln
DTMB_Modulator/Modulator.suo
DTMB_Modulator/Modulator.txt
DTMB_Modulator/Modulator.v11.suo
DTMB_Modulator/Modulator.vcproj
DTMB_Modulator/Modulator.vcproj.computer-PC.computer.user
DTMB_Modulator/Modulator.vcxproj
DTMB_Modulator/Modulator.vcxproj.filters
DTMB_Modulator/Modulator_Error.txt
DTMB_Modulator/UpgradeLog.XML
DTMB_Modulator/UpgradeLog.htm
DTMB_Modulator/_UpgradeReport_Files/
DTMB_Modulator/_UpgradeReport_Files/UpgradeReport.css
DTMB_Modulator/_UpgradeReport_Files/UpgradeReport.xslt
DTMB_Modulator/_UpgradeReport_Files/UpgradeReport_Error.png
DTMB_Modulator/_UpgradeReport_Files/UpgradeReport_Information.png
DTMB_Modulator/_UpgradeReport_Files/UpgradeReport_Success.png
DTMB_Modulator/_UpgradeReport_Files/UpgradeReport_Warning.png
DTMB_Modulator/dmbt_modulator/
DTMB_Modulator/dmbt_modulator/3780fft.cpp
DTMB_Modulator/dmbt_modulator/3780fft.h
DTMB_Modulator/dmbt_modulator/FFT.h
DTMB_Modulator/dmbt_modulator/GbAddInf.cpp
DTMB_Modulator/dmbt_modulator/GbAddInf.h
DTMB_Modulator/dmbt_modulator/GbBch.cpp
DTMB_Modulator/dmbt_modulator/GbBch.h
DTMB_Modulator/dmbt_modulator/GbFEC.cpp
DTMB_Modulator/dmbt_modulator/GbFEC.h
DTMB_Modulator/dmbt_modulator/GbFrmBodyPro.cpp
DTMB_Modulator/dmbt_modulator/GbFrmBodyPro.h
DTMB_Modulator/dmbt_modulator/GbFrmHead.cpp
DTMB_Modulator/dmbt_modulator/GbFrmHead.h
DTMB_Modulator/dmbt_modulator/GbInterleave.cpp
DTMB_Modulator/dmbt_modulator/GbInterleave.h
DTMB_Modulator/dmbt_modulator/GbLdpc.cpp
DTMB_Modulator/dmbt_modulator/GbLdpc.h
DTMB_Modulator/dmbt_modulator/GbMap.cpp
DTMB_Modulator/dmbt_modulator/GbModulator.cpp
DTMB_Modulator/dmbt_modulator/GbModulator.h
DTMB_Modulator/dmbt_modulator/GbQuan.cpp
DTMB_Modulator/dmbt_modulator/GbQuan.h
DTMB_Modulator/dmbt_modulator/GbRandom.cpp
DTMB_Modulator/dmbt_modulator/GbRandom.h
DTMB_Modulator/dmbt_modulator/GbReadSour.cpp
DTMB_Modulator/dmbt_modulator/GbReadSour.h
DTMB_Modulator/dmbt_modulator/GbSRRC.cpp
DTMB_Modulator/dmbt_modulator/GbSRRC.h
DTMB_Modulator/dmbt_modulator/GbWriteData.cpp
DTMB_Modulator/dmbt_modulator/GbWriteData.h
DTMB_Modulator/dmbt_modulator/Gbmap.h
DTMB_Modulator/dmbt_modulator/Gbsyn.cpp
DTMB_Modulator/dmbt_modulator/Gbsyn.h
DTMB_Modulator/dmbt_modulator/GenaSour.cpp
DTMB_Modulator/dmbt_modulator/GeneSource.h
DTMB_Modulator/dmbt_modulator/g_3048.dat
DTMB_Modulator/dmbt_modulator/g_4572.dat
DTMB_Modulator/dmbt_modulator/g_6096.dat
DTMB_Modulator/dmbt_modulator/parameter.h
DTMB_Modulator/dmbt_modulator/quan.cpp
DTMB_Modulator/g_3048.dat
DTMB_Modulator/g_4572.dat
DTMB_Modulator/g_6096.dat

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com