CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 其他小程序

文件名称:si四位加法器

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2018-04-13
  • 文件大小:
    28kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity full_adder is
port(
   a,b,ci :in std_logic;
   s,co  :out std_logic);
end entity;

architecture rtl of full_adder is
begin
  s<=a xor b xor ci;
  co<=(a and b)or(a and ci)or(b and ci);
end rtl;

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;

entity adder4_3 is
port(
   A,B :in std_logic_vector(3 downto 0);
   Ci  :in std_logic;
   S  : out std_logic_vector(3 downto 0);
   Co  : out std_logic);
end entity;

architecture rtl of adder4_3 is
signal C0,C1,C2,C3,C4:std_logic;
component full_adder is
port(
   a,b,ci :in std_logic;
   s,co  :out std_logic);
end component;
begin
u0:full_adder port map(A(0),B(0),C0,S(0),C1);
u1:full_adder port map(A(1),B(1),C1,S(1),C2);
u2:full_adder port map(A(2),B(2),C2,S(2),C3);
u3:full_adder port map(A(3),B(3),C3,S(3),C4);
end rtl;)
相关搜索: 四位加法器

(系统自动生成,下载前可以参看下载内容)

下载文件列表

文件名大小更新时间
adder4_3.vhd 974 2018-04-04
硬件描述语言实验三.doc 36352 2018-03-21
硬件描述语言实验四.doc 34816 2018-03-27
硬件描述语言实验五.doc 35840 2018-04-03
adder4.vhd 673 2018-03-21
adder4_2.vhd 457 2018-04-11

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com