CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 游戏 其他智力游戏

文件名称:基于basys3及VGA显示的俄罗斯方块游戏

介绍说明--下载内容来自于网络,使用问题请自行百度

本项目通过FPGA技术实现俄罗斯方块游戏并进行版面及玩法的创新。使用Basys3 FPGA作为主控平台,通过VGA接口显示俄罗斯方块游戏界面,并通过硬件按键模块操作游戏。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

压缩包 : SINGLE MODE.zip 列表
.Xil/
.Xil/Vivado-3524-DESKTOP-QC3GGM4/
.Xil/Vivado-3524-DESKTOP-QC3GGM4/wave/
SINGLE MODE.cache/
SINGLE MODE.cache/compile_simlib/
SINGLE MODE.cache/compile_simlib/activehdl/
SINGLE MODE.cache/compile_simlib/ies/
SINGLE MODE.cache/compile_simlib/modelsim/
SINGLE MODE.cache/compile_simlib/questa/
SINGLE MODE.cache/compile_simlib/riviera/
SINGLE MODE.cache/compile_simlib/vcs/
SINGLE MODE.cache/wt/
SINGLE MODE.cache/wt/gui_resources.wdf
SINGLE MODE.cache/wt/java_command_handlers.wdf
SINGLE MODE.cache/wt/project.wpc
SINGLE MODE.cache/wt/synthesis.wdf
SINGLE MODE.cache/wt/synthesis_details.wdf
SINGLE MODE.cache/wt/webtalk_pa.xml
SINGLE MODE.cache/wt/xsim.wdf
SINGLE MODE.hw/
SINGLE MODE.hw/hw_1/
SINGLE MODE.hw/hw_1/hw.xml
SINGLE MODE.hw/hw_1/wave/
SINGLE MODE.hw/SINGLE MODE.lpr
SINGLE MODE.ip_user_files/
SINGLE MODE.runs/
SINGLE MODE.runs/.jobs/
SINGLE MODE.runs/.jobs/vrs_config_1.xml
SINGLE MODE.runs/.jobs/vrs_config_10.xml
SINGLE MODE.runs/.jobs/vrs_config_11.xml
SINGLE MODE.runs/.jobs/vrs_config_12.xml
SINGLE MODE.runs/.jobs/vrs_config_13.xml
SINGLE MODE.runs/.jobs/vrs_config_14.xml
SINGLE MODE.runs/.jobs/vrs_config_15.xml
SINGLE MODE.runs/.jobs/vrs_config_16.xml
SINGLE MODE.runs/.jobs/vrs_config_17.xml
SINGLE MODE.runs/.jobs/vrs_config_18.xml
SINGLE MODE.runs/.jobs/vrs_config_19.xml
SINGLE MODE.runs/.jobs/vrs_config_2.xml
SINGLE MODE.runs/.jobs/vrs_config_20.xml
SINGLE MODE.runs/.jobs/vrs_config_21.xml
SINGLE MODE.runs/.jobs/vrs_config_22.xml
SINGLE MODE.runs/.jobs/vrs_config_23.xml
SINGLE MODE.runs/.jobs/vrs_config_24.xml
SINGLE MODE.runs/.jobs/vrs_config_25.xml
SINGLE MODE.runs/.jobs/vrs_config_26.xml
SINGLE MODE.runs/.jobs/vrs_config_27.xml
SINGLE MODE.runs/.jobs/vrs_config_28.xml
SINGLE MODE.runs/.jobs/vrs_config_29.xml
SINGLE MODE.runs/.jobs/vrs_config_3.xml
SINGLE MODE.runs/.jobs/vrs_config_4.xml
SINGLE MODE.runs/.jobs/vrs_config_5.xml
SINGLE MODE.runs/.jobs/vrs_config_6.xml
SINGLE MODE.runs/.jobs/vrs_config_7.xml
SINGLE MODE.runs/.jobs/vrs_config_8.xml
SINGLE MODE.runs/.jobs/vrs_config_9.xml
SINGLE MODE.runs/impl_2/
SINGLE MODE.runs/impl_2/.init_design.begin.rst
SINGLE MODE.runs/impl_2/.init_design.end.rst
SINGLE MODE.runs/impl_2/.opt_design.begin.rst
SINGLE MODE.runs/impl_2/.opt_design.end.rst
SINGLE MODE.runs/impl_2/.place_design.begin.rst
SINGLE MODE.runs/impl_2/.place_design.end.rst
SINGLE MODE.runs/impl_2/.route_design.begin.rst
SINGLE MODE.runs/impl_2/.route_design.end.rst
SINGLE MODE.runs/impl_2/.vivado.begin.rst
SINGLE MODE.runs/impl_2/.vivado.end.rst
SINGLE MODE.runs/impl_2/.Vivado_Implementation.queue.rst
SINGLE MODE.runs/impl_2/.write_bitstream.begin.rst
SINGLE MODE.runs/impl_2/.write_bitstream.end.rst
SINGLE MODE.runs/impl_2/.Xil/
SINGLE MODE.runs/impl_2/gen_run.xml
SINGLE MODE.runs/impl_2/htr.txt
SINGLE MODE.runs/impl_2/init_design.pb
SINGLE MODE.runs/impl_2/ISEWrap.js
SINGLE MODE.runs/impl_2/ISEWrap.sh
SINGLE MODE.runs/impl_2/opt_design.pb
SINGLE MODE.runs/impl_2/place_design.pb
SINGLE MODE.runs/impl_2/project.wdf
SINGLE MODE.runs/impl_2/route_design.pb
SINGLE MODE.runs/impl_2/rundef.js
SINGLE MODE.runs/impl_2/runme.bat
SINGLE MODE.runs/impl_2/runme.log
SINGLE MODE.runs/impl_2/runme.sh
SINGLE MODE.runs/impl_2/tetris.bit
SINGLE MODE.runs/impl_2/tetris.tcl
SINGLE MODE.runs/impl_2/tetris.vdi
SINGLE MODE.runs/impl_2/tetris_1704.backup.vdi
SINGLE MODE.runs/impl_2/tetris_3716.backup.vdi
SINGLE MODE.runs/impl_2/tetris_4296.backup.vdi
SINGLE MODE.runs/impl_2/tetris_5808.backup.vdi
SINGLE MODE.runs/impl_2/tetris_7488.backup.vdi
SINGLE MODE.runs/impl_2/tetris_clock_utilization_routed.rpt
SINGLE MODE.runs/impl_2/tetris_control_sets_placed.rpt
SINGLE MODE.runs/impl_2/tetris_drc_opted.rpt
SINGLE MODE.runs/impl_2/tetris_drc_routed.pb
SINGLE MODE.runs/impl_2/tetris_drc_routed.rpt
SINGLE MODE.runs/impl_2/tetris_drc_routed.rpx
SINGLE MODE.runs/impl_2/tetris_io_placed.rpt
SINGLE MODE.runs/impl_2/tetris_methodology_drc_routed.rpt
SINGLE MODE.runs/impl_2/tetris_methodology_drc_routed.rpx
SINGLE MODE.runs/impl_2/tetris_opt.dcp
SINGLE MODE.runs/impl_2/tetris_placed.dcp
SINGLE MODE.runs/impl_2/tetris_power_routed.rpt
SINGLE MODE.runs/impl_2/tetris_power_routed.rpx
SINGLE MODE.runs/impl_2/tetris_power_summary_routed.pb
SINGLE MODE.runs/impl_2/tetris_route_status.pb
SINGLE MODE.runs/impl_2/tetris_route_status.rpt
SINGLE MODE.runs/impl_2/tetris_routed.dcp
SINGLE MODE.runs/impl_2/tetris_timing_summary_routed.rpt
SINGLE MODE.runs/impl_2/tetris_timing_summary_routed.rpx
SINGLE MODE.runs/impl_2/tetris_utilization_placed.pb
SINGLE MODE.runs/impl_2/tetris_utilization_placed.rpt
SINGLE MODE.runs/impl_2/usage_statistics_webtalk.html
SINGLE MODE.runs/impl_2/usage_statistics_webtalk.xml
SINGLE MODE.runs/impl_2/vivado.jou
SINGLE MODE.runs/impl_2/vivado.pb
SINGLE MODE.runs/impl_2/vivado_1704.backup.jou
SINGLE MODE.runs/impl_2/vivado_3716.backup.jou
SINGLE MODE.runs/impl_2/vivado_5808.backup.jou
SINGLE MODE.runs/impl_2/vivado_7488.backup.jou
SINGLE MODE.runs/impl_2/vivado_7964.backup.jou
SINGLE MODE.runs/impl_2/write_bitstream.pb
SINGLE MODE.runs/synth_1/
SINGLE MODE.runs/synth_1/.vivado.begin.rst
SINGLE MODE.runs/synth_1/.vivado.end.rst
SINGL

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com