CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 Windows编程 驱动编程

文件名称:keyboard_vhdl

  • 所属分类:
  • 标签属性:
  • 上传时间:
    2012-11-16
  • 文件大小:
    622.72kb
  • 已下载:
    0次
  • 提 供 者:
  • 相关连接:
  • 下载说明:
    别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容来自于网络,使用问题请自行百度

ps2 keyboard with encoding ascii code to 7-segments screeen.

LIBRARY ieee

USE ieee.std_logic_1164.all

USE ieee.std_logic_arith.all

USE ieee.std_logic_unsigned.all



ENTITY klawa IS

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC

    SW : in std_logic_vector(4 downto 0)

    HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)

  )

end klawa



architecture Behavioral of klawa is





component keyboard

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC

    scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )

    scan_ready : OUT STD_LOGIC

  )

END component -ps2 keyboard with encoding ascii code to 7-segments screeen.

LIBRARY ieee 

USE ieee.std_logic_1164.all 

USE ieee.std_logic_arith.all 

USE ieee.std_logic_unsigned.all 



ENTITY klawa IS

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC 

    SW : in std_logic_vector(4 downto 0)

    HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)

  )

end klawa



architecture Behavioral of klawa is





component keyboard

PORT (

    keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC 

    scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 ) 

    scan_ready : OUT STD_LOGIC

  )

END component
(系统自动生成,下载前可以参看下载内容)

下载文件列表

keyboard_vhdl/hex.vhd
keyboard_vhdl/hex.vhd.bak
keyboard_vhdl/keyboard.vhd
keyboard_vhdl/keyboard.vhd.bak
keyboard_vhdl/klawa.asm.rpt
keyboard_vhdl/klawa.done
keyboard_vhdl/klawa.dpf
keyboard_vhdl/klawa.fit.rpt
keyboard_vhdl/klawa.fit.summary
keyboard_vhdl/klawa.flow.rpt
keyboard_vhdl/klawa.map.rpt
keyboard_vhdl/klawa.map.summary
keyboard_vhdl/klawa.pin
keyboard_vhdl/klawa.pof
keyboard_vhdl/klawa.qpf
keyboard_vhdl/klawa.qsf
keyboard_vhdl/klawa.qsf.bak
keyboard_vhdl/klawa.qws
keyboard_vhdl/klawa.sof
keyboard_vhdl/klawa.tan.rpt
keyboard_vhdl/klawa.tan.summary
keyboard_vhdl/klawa.vhd
keyboard_vhdl/klawa.vhd.bak
keyboard_vhdl/klawa_assignment_defaults.qdf
keyboard_vhdl/pisanie.vhd
keyboard_vhdl/pisanie.vhd.bak
keyboard_vhdl/db/klawa.(0).cnf.cdb
keyboard_vhdl/db/klawa.(0).cnf.hdb
keyboard_vhdl/db/klawa.(1).cnf.cdb
keyboard_vhdl/db/klawa.(1).cnf.hdb
keyboard_vhdl/db/klawa.(2).cnf.cdb
keyboard_vhdl/db/klawa.(2).cnf.hdb
keyboard_vhdl/db/klawa.asm.qmsg
keyboard_vhdl/db/klawa.asm_labs.ddb
keyboard_vhdl/db/klawa.cbx.xml
keyboard_vhdl/db/klawa.cmp.bpm
keyboard_vhdl/db/klawa.cmp.cdb
keyboard_vhdl/db/klawa.cmp.ecobp
keyboard_vhdl/db/klawa.cmp.hdb
keyboard_vhdl/db/klawa.cmp.logdb
keyboard_vhdl/db/klawa.cmp.rdb
keyboard_vhdl/db/klawa.cmp.tdb
keyboard_vhdl/db/klawa.cmp0.ddb
keyboard_vhdl/db/klawa.cmp_bb.cdb
keyboard_vhdl/db/klawa.cmp_bb.hdb
keyboard_vhdl/db/klawa.cmp_bb.logdb
keyboard_vhdl/db/klawa.cmp_bb.rcf
keyboard_vhdl/db/klawa.dbp
keyboard_vhdl/db/klawa.db_info
keyboard_vhdl/db/klawa.eco.cdb
keyboard_vhdl/db/klawa.fit.qmsg
keyboard_vhdl/db/klawa.hier_info
keyboard_vhdl/db/klawa.hif
keyboard_vhdl/db/klawa.map.bpm
keyboard_vhdl/db/klawa.map.cdb
keyboard_vhdl/db/klawa.map.ecobp
keyboard_vhdl/db/klawa.map.hdb
keyboard_vhdl/db/klawa.map.logdb
keyboard_vhdl/db/klawa.map.qmsg
keyboard_vhdl/db/klawa.map_bb.cdb
keyboard_vhdl/db/klawa.map_bb.hdb
keyboard_vhdl/db/klawa.map_bb.logdb
keyboard_vhdl/db/klawa.pre_map.cdb
keyboard_vhdl/db/klawa.pre_map.hdb
keyboard_vhdl/db/klawa.psp
keyboard_vhdl/db/klawa.pss
keyboard_vhdl/db/klawa.rtlv.hdb
keyboard_vhdl/db/klawa.rtlv_sg.cdb
keyboard_vhdl/db/klawa.rtlv_sg_swap.cdb
keyboard_vhdl/db/klawa.sgdiff.cdb
keyboard_vhdl/db/klawa.sgdiff.hdb
keyboard_vhdl/db/klawa.sld_design_entry.sci
keyboard_vhdl/db/klawa.sld_design_entry_dsc.sci
keyboard_vhdl/db/klawa.syn_hier_info
keyboard_vhdl/db/klawa.tan.qmsg
keyboard_vhdl/db/klawa.tis_db_list.ddb
keyboard_vhdl/db/prev_cmp_klawa.asm.qmsg
keyboard_vhdl/db/prev_cmp_klawa.fit.qmsg
keyboard_vhdl/db/prev_cmp_klawa.map.qmsg
keyboard_vhdl/db/prev_cmp_klawa.qmsg
keyboard_vhdl/db/prev_cmp_klawa.tan.qmsg
keyboard_vhdl/db
keyboard_vhdl

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 搜珍网是交换下载平台,只提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度。更多...
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或换浏览器;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*快速评论: 推荐 一般 有密码 和说明不符 不是源码或资料 文件不全 不能解压 纯粹是垃圾
*内  容:
*验 证 码:
搜珍网 www.dssz.com