CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管显示

搜索资源列表

  1. qiduanxianshi

    0下载:
  2. Verilog代码段,包括七段数码管显示电路,调试通过的代码哦,很实用-Verilog code segments, including the seven-segment LED display circuit, code debugging through, oh, very practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:581
    • 提供者:张大江
  1. seg7(EP1C6Q240C8)

    0下载:
  2. 七段数码管显示,黑金开发板EP4CE15F17C8。-Seven-segment LED display
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-14
    • 文件大小:3256533
    • 提供者:李李
  1. clock

    0下载:
  2. 利用VHDL语言实现了时、分、秒的计时,并在七段数码管显示出来。-Using VHDL language realize the hours, minutes and seconds of time, and in the seven-segment LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5170
    • 提供者:唐宏伟
  1. vhdlqiduanshumaguandongtai

    0下载:
  2. 七段数码管显示,是动态的显示,不过后期调试还是有点问题-Seven-segment LED display, the display is dynamic, but still a little late to debug problems
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:874
    • 提供者:vivi
  1. Seven-segment-digital-tube

    0下载:
  2. 显示系统时间,用簇实现七段数码管显示系统时间-Seven segment digital tube system time
  3. 所属分类:LabView

    • 发布日期:2017-05-01
    • 文件大小:14322
    • 提供者:fei
  1. LED_SCAN_v2

    0下载:
  2. 使用七段数码管显示学号,具有很详细的实现步骤。学习性极强-show STU_NUM with LED
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1966
    • 提供者:asdasda
  1. tlc549adc

    0下载:
  2. 在FPGA上实现tlc945的模数转换,并用七段数码管显示-FPGA tlc945
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:229862
    • 提供者:解剑絮
  1. PC-and-mcu-communikation

    0下载:
  2. 采用Atmel公司的AT89S52为核心,实现PC机与单片机系统之间的数据通信。单片机系统采集温度,将数据通过串口将数据传送至PC机,PC机上实现温度显示。用MAX232芯片完成单片机TTL电平到RS-232双向电平的转换 ;用46的矩阵式键盘完成所要显示的数据发送;用LED七段数码管显示接收和发送的数据。在软件设计方面,PC机采用VB或者VC语言编程,使用通信控件来实现串行口收发数据;单片机方面用中断方式完成数据的接收和发送。-Adopts AT89S52 as the core of Atm
  3. 所属分类:SCM

    • 发布日期:2017-06-16
    • 文件大小:24725819
    • 提供者:因一
  1. char_7seg

    0下载:
  2. 七段数码管显示 显示简单字符 显示0~9数字 循环显示4个字符 -Seven-segment LED display simple characters, 0-9 digital loop display four characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:261766
    • 提供者:maomaoyu
  1. Gameone

    0下载:
  2. 此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再次检测
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2789199
    • 提供者:XiaoLiuMang
  1. Digital-tube-dynamic-display

    0下载:
  2. 1、 了解数码管的工作原理。 2、 学习七段数码管显示译码器的设计。 3、 学习VHDL的CASE语句及多层次设计方法。 八位七段数码管动态显示电路的设计 - Eight seven-segment LED display dynamic circuit design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:125093
    • 提供者:漆广文
  1. led_seg7

    0下载:
  2. 七段数码管显示实验,通过运行程序可以让数码管,显示不同的数字。-Seven segment digital tube display experiment, through the operation of the program can make digital tube, showing different figures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1079
    • 提供者:左乐
  1. The-display-of-Subtraction

    0下载:
  2. 利用VHDL语言编写减法器,并利用七段数码管显示。-Using VHDL language to editing subtraction, and the use of seven digital tube display.
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:160194
    • 提供者:申茂冬
  1. divider8

    0下载:
  2. 使用硬件描述语言设计8分频器,并将结果通过七段数码管显示-The hardware descr iption language is used to design the 8-frequency divider, and the result is displayed by 7-segment LED
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1500118
    • 提供者:王锋
  1. miniFRAGE

    1下载:
  2. MINI冰箱设计,利用DS18B20芯片实现温度探测和温度信号输入,利用4位七段数码管显示温度。当温度高于临界温度15°时,单片机则输出信号,通过继电器控制半导体制冷片及散热器工作,使冰箱实现制冷功能。-Using DS18B20 chip to achieve temperature detection and temperature signal input, the use of four seven-segment digital display temperature. When th
  3. 所属分类:Project Design

    • 发布日期:2017-05-05
    • 文件大小:227756
    • 提供者:高明
  1. streetlights-based-on-VHDL

    0下载:
  2. 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-12
    • 文件大小:18954
    • 提供者:wang
  1. 2015112208

    0下载:
  2. 实现8位二进制数的原码一位乘法,并将乘法运算结果通过七段数码管显示(The realization of the 8 bit binary code a multiplication)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:3641344
    • 提供者:威我杜尊
  1. 1602 clock

    0下载:
  2. 简单显示时间功能 时-分-秒 以及 文字(Simple display time function - minute seconds and text)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:9375744
    • 提供者:楚生
  1. 分频显示

    0下载:
  2. VHDL实验中,实现分频与数码管显示。掌握BCD-七段显示译码器的功能和设计方法; 掌握用硬件描述语言的方法设计组合逻辑电路——BCD-七段显示译码器。(In the VHDL experiment, frequency division and digital tube display are realized.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21229568
    • 提供者:Maggie0104
  1. 6进制计数器

    1下载:
  2. 使用Verilog编写的六进制计数器,且可以在七段数码管显示对应的数值
  3. 所属分类:其它程序

« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 22 »
搜珍网 www.dssz.com