CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管显示

搜索资源列表

  1. DS18B20.rar

    0下载:
  2. 利用单总线数字温度芯片DS18B20测温度,并用七段数码管显示出来。proteus仿真,keil编译,DS18B20+PROTEUS+KEIL
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:39877
    • 提供者:许秋波
  1. digital_7

    0下载:
  2. Verilog七段数码管显示控制程序,已经在实验板上测试通过。-Verilog seven-segment LED display control program, the board has been tested in the experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:445232
    • 提供者:吴平
  1. shumaguan.rar

    0下载:
  2. 七段数码管显示程序,用Verilog语言编写,程序运行完全没有问题。,Seven-Segment LED display program, with the Verilog language, the program is running is no problem.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:415523
    • 提供者:韩瑞
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. leds

    0下载:
  2. xilinx SPARTAN3E 下的led七段数码管显示程序,基于SDK平台。-xilinx SPARTAN3E led under the seven-segment LED display program, based on SDK platform.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-26
    • 文件大小:9029776
    • 提供者:王琪
  1. AT89S52

    0下载:
  2. 使用芯片AT89S52,6位七段数码管显示以及串口通讯-The use of chip AT89S52, 6 bit Seven-Segment LED display and serial communications
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:183801
    • 提供者:张惠
  1. shumaguan

    0下载:
  2. 数码管单片机实验七段数码管显示实验程单片机实验七段数码管显示实验程序-Seven-Segment LED Single-chip digital tube experiments showed that the experimental single-chip experiment and seventh-way digital tube display experimental procedures
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:900
    • 提供者:sheyichai
  1. show

    0下载:
  2. 开发平台是ICCAVR的,是一个用于单片机的七段数码管显示程序-Development platform is ICCAVR is a paragraph for the MCU digital tube display program
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1616
    • 提供者:文书
  1. dianziqin

    0下载:
  2. 编写一实现电子琴的程序,并实现若干扩展功能。 基本功能:用8257键盘输入对应的七个音阶,通过实验箱的喇叭发出声音,并通过七段数码管显示输入音阶; 扩展功能:1、录音:记录所弹奏曲目 2、放录音:任意时刻重放最新记录曲目 3、在程序中可预设一曲目,按一键实现播放该曲目。 4、在播放录制曲目或预设曲目时,按8279键盘上任意键可以暂停播放,再按一次从暂停处继续播放。 5、程序运行时有友好的用户介面 -err
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:75182
    • 提供者:enjoy
  1. disp

    0下载:
  2. 七段数码管显示程序 可以使七段数码管正常显示-Seven-Segment LED display program Seven-Segment LED can display
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:1237
    • 提供者:李世峰
  1. 7-seg

    0下载:
  2. avr单片机的基本演练 用于七段数码管显示显示内容可以调节 本例程中设置为0123-avr microcontroller for basic drill team respectively show the contents of the digital display can be adjusted to set the routine for the 0123
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:7088
    • 提供者:于彬
  1. 6led

    0下载:
  2. 七段数码管显示,在上面显示1234567-LED display
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1035
    • 提供者:陈莉
  1. keyboard

    0下载:
  2. 4*4键盘控制一个七段数码管显示,用三种方法实现-4* 4 Keyboard control of a Seven-Segment LED display, using three methods to achieve
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:95596
    • 提供者:布丁
  1. shuoming

    0下载:
  2. VHDL 开发一个七段数码管显示时钟,非常不错,欢迎分享下载.-VHDL IS VERY EASY.WELCOME LOAD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:95260
    • 提供者:lijian
  1. led

    0下载:
  2. 可以显示六个BCD码的动态扫描七段数码管显示电路。有缓存,闪烁等模块组成。-BCD code to show the dynamics of the six and seventh scan digital tube display circuit. There cache, blinking and other modules.
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:3350
    • 提供者:赵朴
  1. view

    0下载:
  2. 计时显示电路,6片七段数码管显示,内部计数器,通过Mealy型状态机实现-Time display circuit, paragraph 6 digital display, internal counter, through the Mealy type state machine to achieve
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:792
    • 提供者:Elf
  1. jtd1

    0下载:
  2. 交通灯实现的源程序,可实现简单的交通灯控制,用七段数码管显示时间-The realization of the source of traffic lights, can be a simple traffic light control, with Seven-Segment LED Display Time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:971
    • 提供者:老大
  1. PCKL

    0下载:
  2. pckl 设计一个定时显示装置,用六个七段数码管显示年月日,日期每一秒变化一次,并能从小键盘上控制走时,显示和初始值预置 C 清除计数 G 启动计数 D 暂停计数 P 设置初值 E 退出程序-pckl 设计一个定时显示装置,用六个七段数码管显示年月日,日期每一秒变化一次,并能从小键盘上控制走时,显示和初始值预置 C 清除计数 G 启动计数 D 暂停计数 P 设置初值 E
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1810
    • 提供者:wendy1308
  1. PCLED

    0下载:
  2. 用七段数码管显示分,秒值开始运行,时间值为00-00,每隔1秒,时间值变化一次,从键盘上按任意键退出
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:943
    • 提供者:wendy1308
  1. 1

    0下载:
  2. 采集0-7路输入来自直流源经分压器产生的0~5 V直流电压(可功能扩展,8路可接不同的传感器,采集不同的信号,例如:温度、湿度、图象、声音等)ADC0809将各路模拟信号分别转换成8位二进制数字信号,再对各路数据进行显示。各路通道采集方式为以约5s为周期循环采集(即0路、1路……7路、0路…)约每5ms更新,重新采集一次。显示方式为循环显示和单路显示:开关打开时,循环显示0-7路采集的数据;开关闭合时,显示当前单路采集的数据。3个七段数码管显示结果:最左边的一个数码管DS0显示通道号,其它两个数
  3. 所属分类:assembly language

    • 发布日期:2017-04-08
    • 文件大小:1310
    • 提供者:廖婷
« 1 2 34 5 6 7 8 9 10 ... 22 »
搜珍网 www.dssz.com