CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管

搜索资源列表

  1. The-display-of-Subtraction

    0下载:
  2. 利用VHDL语言编写减法器,并利用七段数码管显示。-Using VHDL language to editing subtraction, and the use of seven digital tube display.
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:160194
    • 提供者:申茂冬
  1. count

    0下载:
  2. 用Vrilog实现了一个计数器,并用七段数码管进行显示,运用了时分复用,代码简单明了,适合基础学习。-Using Verilog to achieve a counter, the code is simple and clear, suitable for basic learning.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3093
    • 提供者:east
  1. FSM

    0下载:
  2. 这是一个有限状态机的设计,并且用来测试一个学列,七段数码管输出检测序列的值,有限状态机用三段式编写。- This is a finite state machine design, and used to test a school, seven-segment digital output detection sequence value, the finite state machine with three-stage preparation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2370
    • 提供者:east
  1. PS2_ctrl

    0下载:
  2. (1)对输入的时钟进行分频,得到190Hz 和25Hz 时钟信号,提供给其它模块作为时 钟输入; (2)键盘扫描模块:接收键盘的输入PS2C 和PS2D,并得到键盘扫描码xkey(15:0); (3)数码管显示电路:将键盘扫描模块输出的扫描码显示在七段数码管。-(1) the input clock frequency division, get 190Hz and 25Hz clock signal, provided to other modules as the time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:433124
    • 提供者:panda
  1. verilog

    0下载:
  2. 一些简单的Verilog代码,小例程,比如求平均值、七段数码管-Some simple Verilog code, small routines, such as averaging, seven digital tubes and so on
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-05
    • 文件大小:6941
    • 提供者:张蛋蛋
  1. counter

    0下载:
  2. 通过P1.0端口以1HZ的频率输出信号,使用该信号点亮一个LED指示灯, 并将信号接入到INT1,统计中断的次数。 将中断的次数通过两个七段数码管采用十进制显示出来。当超过99次后,清零并 重新计数。 -By P1.0 port to the output signal frequency 1HZ using an LED indicator lights that signal, and the signal access to INT1, the number of statis
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:61827
    • 提供者:黄keke
  1. divider8

    0下载:
  2. 使用硬件描述语言设计8分频器,并将结果通过七段数码管显示-The hardware descr iption language is used to design the 8-frequency divider, and the result is displayed by 7-segment LED
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1500118
    • 提供者:王锋
  1. ping_pong2LED

    0下载:
  2. 用QuartusII13.0软件,DE1开发板,支持VGA的显示屏实现的乒乓球游戏,同时可实现七段数码管计分,球碰撞声等功能-With QuartusII13.0 software, DE1 development board that supports VGA screen realization of table tennis game, while achieving seven-segment LED scoring, ball impact sound, and other funct
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6564852
    • 提供者:陈正鑫
  1. miniFRAGE

    1下载:
  2. MINI冰箱设计,利用DS18B20芯片实现温度探测和温度信号输入,利用4位七段数码管显示温度。当温度高于临界温度15°时,单片机则输出信号,通过继电器控制半导体制冷片及散热器工作,使冰箱实现制冷功能。-Using DS18B20 chip to achieve temperature detection and temperature signal input, the use of four seven-segment digital display temperature. When th
  3. 所属分类:Project Design

    • 发布日期:2017-05-05
    • 文件大小:227756
    • 提供者:高明
  1. ZYH

    0下载:
  2. (7,4)汉明译码、串口接收和数码管显示综合实验。在该实验中,要求能够利用计算机的串口发送汉明码字(可以是没有错误的汉明码字,也可以是有一个比特错误的汉明码字);然后利用FPGA进行串口数据接收;接收后进行(7,4)汉明译码,并将译码后的结果送给七段数码管进行显示。要求使用4个七段数码管,其中2个数码管用于显示从串口接收到的数据,另一个数码管用于显示汉明译码后的正确信息比特,最后一个数码管用于指示出错比特的位置。-(7,4) Hamming decoding, receiving the ser
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:308258
    • 提供者:zyhhyz
  1. streetlights-based-on-VHDL

    0下载:
  2. 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-12
    • 文件大小:18954
    • 提供者:wang
  1. Example4

    0下载:
  2. 八位七段数码管动态显示电路设计 使用的是两个四位一体、共阴极七段数码管 学习 VHDL 的 CASE 语句及多层次设计方法-Dynamic eight seven-segment LED display circuit design uses two one four, 7-segment LED common learning CASE statement VHDL design methods and the multi-level
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:3068
    • 提供者:贺泽伟
  1. top1

    0下载:
  2. 七段数码管译码器,可显示0~9共10个字符。(Seven segment digital decoder, 0~9 can display a total of 10 characters.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:442368
    • 提供者:Stella\
  1. traffit

    0下载:
  2. 数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数可分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元,也就是多一个小数点(DP)这个小数点可以更精确的表示数码管想要显示的内容 8段LED在控制系统中应用最为广泛,其接口电路也具有普遍借鉴性。因此,本系统使用8段数码管。(The digital tube is a semiconductor light emitting device. Its basic unit is a light emitting dio
  3. 所属分类:单片机开发

    • 发布日期:2018-01-01
    • 文件大小:486400
    • 提供者:niconi
  1. 2015112208

    0下载:
  2. 实现8位二进制数的原码一位乘法,并将乘法运算结果通过七段数码管显示(The realization of the 8 bit binary code a multiplication)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:3641344
    • 提供者:威我杜尊
  1. 电子钟

    0下载:
  2. 利用8253和8259芯片实现实时电子时钟的功能;利用8255控制七段数码管完成定时扫描显示。显示格式为xx时xx分xx秒,每隔1s,时间值改一次。按键SHIFT可选择时、分、秒,按键CTRL可对所选的时、分、秒进行加1,小时加至23后清0,分、秒加至59后清0。(Use 8253 and 8259 chip to realize the function of real-time electronic clock; use 8255 control seven segment digital
  3. 所属分类:汇编语言

    • 发布日期:2018-01-04
    • 文件大小:14336
    • 提供者:张不咋
  1. 1602 clock

    0下载:
  2. 简单显示时间功能 时-分-秒 以及 文字(Simple display time function - minute seconds and text)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:9375744
    • 提供者:楚生
  1. code1

    0下载:
  2. 单片机控制七段数码管,使得一个数码管实现流水功能,用于单片机开发(The single chip computer controls seven segments of digital tube, which makes a digital tube realize the function of water, and it is used for the development of single chip microcomputer.)
  3. 所属分类:单片机开发

    • 发布日期:2018-01-06
    • 文件大小:9216
    • 提供者:liudongyuan
  1. danpianji

    0下载:
  2. 本设计主要利用单片机AT89C51作为核心元件,利用3组4位共阳极的数码管作为显示器件,以C语言作为编程语言,在Protues和Keil搭建的软件仿真平台下进行仿真,本设计包含了AT89C51系列单片机的最小系统的构成,同时在此基础上扩展了一些实用性强的外围接口,可以进一步了解译码器74LS247的应用,LED七段数码管的结构和工作原理,主要实现了计时和显示A/B队的分数,并能及时进行加分,减分,鸣笛警示等功能。 系统设计完成后应有成本低廉、性能稳定、高准确度显示、操作方便且易携带等特点(Th
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:367616
    • 提供者:卡布奇诺123
  1. 6进制计数器

    1下载:
  2. 使用Verilog编写的六进制计数器,且可以在七段数码管显示对应的数值
  3. 所属分类:其它程序

« 1 2 ... 18 19 20 21 22 2324 25 26 27 »
搜珍网 www.dssz.com