CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 七段数码管

搜索资源列表

  1. Time

    0下载:
  2. 仅考虑数字钟表的基本功能,即能够显示秒、分、小时。采用0~23小时的显示方式。 6位七段共阴极数码管数字显示,小时、分、秒各2位;显示范围:00:00:00~23:59:59-Consider only the basic functions of digital watches that can display seconds, minutes, hours. 0 ~ 23 hours with the display. A total of six seven-segment digital
  3. 所属分类:source in ebook

    • 发布日期:2017-04-16
    • 文件大小:14307
    • 提供者:王涛
  1. sram

    0下载:
  2. 数据存储和读取电路以一个双端口SRAM为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED七段译码,送LED数码管显示-Data storage and reading circuit in a dual-port SRAM as the central access address generated using a binary counter to generate For decimal counter data stored, read out th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:434550
    • 提供者:william
  1. Traffic-Management-System

    0下载:
  2. 该设计利用单片机控制十字路口的红绿灯交替点亮和熄灭,并且 用4只七段LED数码管显示十字路口两个方向的剩余时间。要求能用按键设置两个方向的通行时间和暂缓通行时间-The design of intersection traffic lights using SCM light on and off alternately, and with four seven-segment LED digital display the time remaining intersections in bot
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:62986
    • 提供者:yrz
  1. SEG

    0下载:
  2. 7段译码器 吉林大学短学期CPLD实习程序 通过四位拨码开关进行编码,让硬件电路将编码转换成对应的七段码,并将七段码送至数码管进行显示,其中该电路能够输出0到F的16个字符-7 decoder CPLD Jilin University internship program through short-term four DIP switch coded, so that hardware will be encoded into the corresponding seven-segmen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:101132
    • 提供者:吴琦轩
  1. 2

    0下载:
  2. 七段显示译码器电路 将输入的8421BCD码计数值译为对应的编码,并在LED数码管上显示出对应的十进制数 LDE数码管共有七个输入端(a-g),三个数码管采用扫描显示方法,按个位十位百位的次序依次显示三个四位二进制数据。-Seven segment display decoder circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:12225
    • 提供者:成思远
  1. startstopwatch

    0下载:
  2. 运动计时器的设计(1)在四个七段LED数码管上显示分钟和秒,最长的计时时间为59:59。 (2)按下清零按键,在四个七段LED数码管上显示的时间为00:00。 (3)按下启动/暂停按键,则启动或暂停计时器计时。其功能与实际的计时器的开始/停止按钮功能相同。设计一个能显示分、秒的计时器。在四个七段LED数码管上显示出来。-Sports timer design (1) in the four seven-segment LED digital display minutes and sec
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1313
    • 提供者:吴凡
  1. show1234

    0下载:
  2. :在四个七段LED数码管上显示数字“1234”-: In the four seven-segment LED digital display the number " 1234"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:849
    • 提供者:吴凡
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. shumaguan

    0下载:
  2. 本程序实现七段LED数码管的显示。低电平亮,高电平不亮。-Shortness of breath control to achieve the display of digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:210229
    • 提供者:肖飞
  1. Voltage-sampling

    0下载:
  2. 关于AD转换的一个小实验,用ADC通道0(只固定一通道)检测输入电压,然后显示在两个七段的数码管上. -Voltage sampling
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1047
    • 提供者:李刚
  1. LED

    0下载:
  2. 七段LED显示电路的设计,很好的利用数码管,达到LED灯的亮与灭。-These seven LED display circuit design, good use of digital tube, reach the light of LED lights and destroy.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:131050
    • 提供者:春霞
  1. VerilogHDLshuzizhong

    0下载:
  2. 本设计的数字钟,要求显示格式为小时—分钟—秒钟,分别在8个七段LED数码管上以动态分时扫描的方式显示,附加功能:有调时模式,增加秒表功能-The design of the digital clock, required to display format for hours-minutes-seconds, respectively in eight seven LED digital tube for dynamic points the way to scan showed that ad
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:108103
    • 提供者:张伟
  1. design8279

    0下载:
  2. 在对8279的键盘显示接口分析的基础上,设计实现一位L ED七段十进制数码管显示驱动和键盘输入阵列电路.利用8279可实现对键盘显示的自动扫描,以减轻CPU负担.其显示稳定,程序简单,不会出现误动作. 本系统采用8031单片机与8279芯片的显示接口组成对L ED数码管应用系统的显示驱动电路. 具有可靠性高、价格低、使用方便等特点,适合数据显示的计算机应用系统使用-On the basis of the analysis on the 8279 keyboard interface, desig
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:80967
    • 提供者:jiany
  1. jishu

    0下载:
  2. 计数器,数码管显示,使用时分复用和七段译码实现数码管显示,计数器则是分频实现-Counters, digital display, use time division multiplexing and seven-segment decoder for digital display, the counter is then the divider to achieve
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:652
    • 提供者:nie
  1. 7_segment

    0下载:
  2. 基于kinetis K60的七段显示数码管源代码-The Kinetis K60-based seven-segment digital tube source code
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:229418
    • 提供者:孟柯
  1. shaomiaoqudongxianshidianlu

    0下载:
  2. 为了减少8位显示信号的接口连接线,实验箱中的数码显示采用扫描 显示工作模式。即8位数码管的七段译码输入(a,b,c,d,e,f,g)是并联在 一起的,而每一个数码管是通过一个3位选择sel[2..0]来选定 的。-In order to reduce the 8-bit display signal interface cable, digital display in the experimental box scan display mode of operation. I.e. the s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:866
    • 提供者:刘红喜
  1. shumaguan

    0下载:
  2. 各种数码管显示源码,七段,八段,共阳共阴都有,且都经过仿真得到正确的波形 -Various digital display source, segment, eight out of a total of yin yang are, and have been to get the correct waveform simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1038
    • 提供者:刘媛媛
  1. VHDL_keyboard-scan

    1下载:
  2. 实验内容:设计一个BCD码到LED的七段译码器 DICEDIS,非BCD值时仅G段亮(输出为一。然后下载验证键盘显示实验。接着改写程序,将1-6的数据固定地显示在1-6位数码管上。进而将F键功能改为换向键,即可以控制数字滚动显示的方向。 -Experiment: Design a BCD code to the LED seven segment decoder DICEDIS, non-BCD value G segment only bright (output is a. Then d
  3. 所属分类:software engineering

    • 发布日期:2017-03-23
    • 文件大小:445071
    • 提供者:陈芳
  1. LED7S

    0下载:
  2. 西南交通大学计算机组成眼里实验 实验四 七段LED数码管显示译码器设计-Southwest Jiaotong University Computer Composition eyes Experiment four seven-segment LED display decoder design
  3. 所属分类:Compiler program

    • 发布日期:2017-04-03
    • 文件大小:75175
    • 提供者:han
  1. Eight-scanning-Responder-design

    0下载:
  2. 本文介绍的八路数显无线遥控抢答器,它由8个发射器和1个接收器组成,可用于8组或8组以下的智力竞赛中。本设计使用到的元器件包括:8051芯片、数码LED显示器、七段LED数码管的译码。-This article describes the significant number eight wireless remote Responder, which consists of eight transmitter and a receiver components can be used for e
  3. 所属分类:Project Manage

    • 发布日期:2017-03-31
    • 文件大小:163523
    • 提供者:许佳
« 1 2 ... 21 22 23 24 25 2627 »
搜珍网 www.dssz.com