CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波

搜索资源列表

  1. IIF

    0下载:
  2. 用MATLAB实现IIF低通和高通滤波器的滤波特性,并让单位取样信号,周期方波信号和周期三角波信号通过IIF,验证IIR的滤波特性-IIF using MATLAB to achieve low-pass and high-pass filters filtering properties, and to allow units sampled signals, cycle square wave signal and the periodic triangular wave signal th
  3. 所属分类:Wavelet

    • 发布日期:2017-03-29
    • 文件大小:104116
    • 提供者:yy
  1. FunctionGenerator

    0下载:
  2. 设计内容:以STAR ES598PCI单板机,开发能够输出多种波形且频率可变的函数发生器。设计要求: (1)能够输出锯齿波,三角波,正弦波,脉冲波. (2)能根据键盘命令进行波形之间的切换. (3)能对输出的波形频率进行调节。 -Design content: STAR ES598PCI single board, developers can output a variety of waveforms and variable frequency function genera
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:53289
    • 提供者:mike
  1. DA0sine0triangle0trape0zoid0square

    0下载:
  2. 用89C51和DAC0832产生正弦、三角、梯形、方波,用按键选择波形输出。附有protues仿真图,keil源程序(汇编),产生正弦波表格的运用程序。-With the 89C51 and the DAC0832 produce sine, triangle, trapezoid, square, with the key option waveform output. With protues simulation map, keil source code (compilation),
  3. 所属分类:assembly language

    • 发布日期:2017-03-25
    • 文件大小:45087
    • 提供者:罗清伟
  1. 0832boxingshuchu

    0下载:
  2. 0832波形输出,锯齿波,方波,三角波,等-0832boxingshuchu
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1273
    • 提供者:张杰西
  1. basic

    1下载:
  2. 一个简单的函数信号发生器,产生方波,锯齿波,三角波,正弦波等-A simple function signal generator to generate square wave, sawtooth, triangle wave, sine wave, etc.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1458
    • 提供者:王佳凡
  1. mcs51controltcl5615

    0下载:
  2. 单片机控制tcl5615产生三角波(带proteus仿真)-SCM control tcl5615 generated triangular wave (with proteus simulation)
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:41240
    • 提供者:andy
  1. waveform_generator

    0下载:
  2. 该函数波形发生器的功能是能产生相应的正弦波,方波对八路电压输入(0--0.8v) 进行巡回测量。 通过按键控制,LED数码显示指定某路的电压。 ,锯齿波,三角波 -The function waveform generator function is to produce a corresponding sine wave, square wave voltage input to the eight-way (0- 0.8v) to conduct roving measureme
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:143308
    • 提供者:梁冬梅
  1. clock

    0下载:
  2. 基于VHDL的函数信号发生器,可输出方波,阶梯波,三角波,正铉波,用示波器观察-VHDL-based function of the signal generator can output a square wave, step-wave, triangle wave, positive-hyun waves observed with an oscilloscope
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3197521
    • 提供者:niha
  1. aa

    0下载:
  2. 1. 设计并制作一个具有高频率稳定度和高相位稳定度的低频函数发生器,频率可调,为1HZ-1KHz; 2. 波形种类:三角波、正弦波、方波、锯齿波 3. 没有明显的波形失真 4. 具有频率、波形种类显示和设置功能,即能通过按键设置指定频率,指定种类的波形输出,并在数码管上显示频率值及波形种类。 输出电压:0V~+5V -1. Design and produce a high frequency stability and high phase stability of l
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:76904
    • 提供者:394177191
  1. 12

    0下载:
  2. DOS环境下显示三角波和正弦波,能实现两种波形的切换-DOS environment, shows triangular wave and sine wave
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-07
    • 文件大小:7948
    • 提供者:cxl
  1. AD

    0下载:
  2. 1. 构建8051单片机外部总线,扩展ADC0808 A/D转换器; 2. 用8051串行口扩展连接4位八段LED数码显示器; 3. 按1、2要求完成系统电路设计; 4. 在A/D模拟通道输入50Hz/2Vpp三角波信号,编制C51程序测量三角波的幅度平均值并显示。 -1. To build the external bus 8051, extended ADC0808 A/D converter 2. With the 8051 serial port extension t
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:393304
    • 提供者:ml
  1. JuChiBo

    0下载:
  2. 数/模、模/数转换实验 D/A0832产生三角 三角波经A/D0809转换后在屏幕上输出。-D/A, A/D conversion experiments D/A0832 generate triangle A/D0809 converted by the triangular wave output on the screen.
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:1101
    • 提供者:Yang Shuang
  1. resizeofapp

    0下载:
  2. 显示波形的控件 输出余弦,方波,三角波-Shows the output waveform control, cosine, square, triangle wave
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:108047
    • 提供者:yixin
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. fazhi

    1下载:
  2. 这是一个将模拟量转换成数字量的VHDL源代码,能够转换正弦波,三角波,方波;通过设定一个阀值,再用比较器比较实现的!-This is an analog quantity into a digital representation of the VHDL source code, to convert sine wave, triangle wave, square wave by setting a threshold, then comparator compares the implem
  3. 所属分类:assembly language

    • 发布日期:2016-01-27
    • 文件大小:480238
    • 提供者:biao
  1. xinhaofashengqi

    0下载:
  2. 本设计以LPC2103为控制芯片,探索研究了低频信号发生器的原理和应用,设计输出频率及幅度可调,频率范围为1HZ~50KHZ的正弦波、方波、三角波、调幅波、调频波及其复合波信号,具有信号频率、波形、幅度变化容易,硬件简单可靠等特点的多功能信号源。-For the control of the LPC2103 chip design, explore and study the low frequency signal generator theory and application of des
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:562845
    • 提供者:tan
  1. 1985520fft_dsp

    0下载:
  2. 数字信号处理的程序,快速傅立叶变化,FFT,频谱分析,三角波,矩形波,脉冲波,很好的学习资料,很值得下载哟--Fourier changes, FFT, spectrum analysis, triangle wave, square wave pulse, a very good learning materials, is worth downloading yo
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:7136
    • 提供者:1239274585
  1. DDS

    1下载:
  2. 基于51单片机实现AD9833输出正弦波、三角波、方波,已经验证可以,程序中包含数码管显示部分,不需要的可以屏蔽。-Based on 51 single chip AD9833 output sine wave, triangle wave, square wave, has been demonstrated, the program includes digital control part, do not need to shield.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:26489
    • 提供者:JiaLong-Xie
  1. elecpieno

    2下载:
  2. Matlab 数字信号发生器 能产生正弦方波三角波等常见的波形数字信号,并提供了图形界面-matlab
  3. 所属分类:matlab

    • 发布日期:2015-06-07
    • 文件大小:17924
    • 提供者:周川
« 1 2 ... 15 16 17 18 19 2021 22 23 24 25 ... 50 »
搜珍网 www.dssz.com