CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 三角波

搜索资源列表

  1. Time-domain-analysis-system

    3下载:
  2. 本系统可做自动控制原理中的时域分析仿真实验,系统特性如下: 1:可选择仿真系统为一阶、二阶、任意阶 2:可选择信号源为正弦波、三角波、锯齿波、方波(可模拟阶跃信号),并可调节信号源频率、幅值、相位、偏移、方波占空比 3:可选择信号采样率及采样数 4:自动计算传递函数 5:自动计算时域响应参数(增益系数、上升时间、峰值、峰值时间、稳定时间、超调量) 6:波形图同时显示原始信号和响应信号 注:使用本系统需安装labview控制设计与仿真库-The system can d
  3. 所属分类:LabView

    • 发布日期:2017-04-23
    • 文件大小:79872
    • 提供者:朱熙文
  1. DDS_SYS_CLK100M

    0下载:
  2. 基于FPGA的信号源设计,100M时钟,32位相位累加,能产生正玄波、方波,三角波,锯齿波,频率可调,频率范围0.03HZ-15MHZ。-FPGA-based signal source design, 100M clock, 32-bit phase accumulation can produce sine wave, square wave, triangle wave, sawtooth, adjustable frequency, the frequency range 0.03 Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:2740742
    • 提供者:zhangchuan
  1. wave_generator

    0下载:
  2. 文件里包括了利用xilinx ISE 设计波形发生器所要用到的三角波,正弦波,矩形波rom文件-File including the use of the Xilinx ISE design waveform generator to use the triangle wave, sine wave, square wave rom file
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-11-18
    • 文件大小:3511
    • 提供者:mrzhang
  1. C51-signal-generator

    0下载:
  2. 基于C51单片机的波形信号发生器:矩形波,方波,三角波。包含单片机仿真。-Based on the C51 single-chip waveform signal generator: square wave, square wave, triangle wave. Contains simulation microcontroller.
  3. 所属分类:SCM

    • 发布日期:2017-11-25
    • 文件大小:18878
    • 提供者:zhou
  1. pp

    2下载:
  2. ,基于LabVIEW这个软件开发平台。虚拟函数发生器的设计参考了常见信号发生器的功能,在功能上有所扩展。仪器主要功能如下: 1可产生实验室常用的正弦波、方波、三角波、锯齿波。2任意波形的发生,任意波可实现公式输入。3信号频率、幅度、相位、偏移量、方波占空比可调可控-Based on LabVIEW software development platform. The design of the virtual function generator reference to the common
  3. 所属分类:LabView

    • 发布日期:2017-11-25
    • 文件大小:171675
    • 提供者:谢盼
  1. VB-signal-generator

    0下载:
  2. 由VB编写的信号发生器,利用电脑的音频输出接口输出信号,可输出正弦波、三角波、锯齿波、方波等。-Prepared by the VB signal generator, the use of the computer' s audio output jacks output signal, sine, triangle, sawtooth, square wave output.
  3. 所属分类:Communication

    • 发布日期:2015-08-06
    • 文件大小:97280
    • 提供者:L
  1. MAX0388

    0下载:
  2. 使用MAX0388输出方波和正玄波以及三角波的C程序-The MAX0388 output square wave and sine wave and triangular wave C program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-30
    • 文件大小:3943
    • 提供者:laofan
  1. FPGA_Function_v3

    0下载:
  2. 基于cpld的多波形信号发生器。 可产生方波,三角波,正弦波,锯齿波。 可以通过一组拨码开关进行频率增加和减少。其中频率在100~1000hz不连续变化。-Based on multi-waveform signal generator cpld. Can produce a square wave, triangle wave, sine wave, sawtooth wave. Through a set of DIP switch frequency increases and d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:574565
    • 提供者:解雨辰
  1. hsk4571_sgna_generator

    0下载:
  2. 信号发生器的VHDL实现,可调节波形及频率,方波、锯齿波、三角波等,在QUATTUS||9.0下编写,可在9.0及以上版本运行并下载,芯片为Altera的Cyclone3 EP3C8T1-Signal Generator VHDL implementation, adjustable waveform and frequency, square wave, sawtooth, triangle, etc., in QUATTUS | | 9.0 under preparation, can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:9726474
    • 提供者:hongsk
  1. DDS-boxing

    0下载:
  2. 现编写能产生三种信号的DDS调制 三种信号分别为三角波 方波 锯齿波-Now prepared to produce three kinds of signals DDS three signals were modulated square wave triangle wave sawtooth
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:3085
    • 提供者:masss
  1. hanshufashengqi

    0下载:
  2. 基本函数发生器 正弦波、方波、三角波、锯齿波等-Basic function generator sine, square, triangle, sawtooth, etc.
  3. 所属分类:LabView

    • 发布日期:2017-12-04
    • 文件大小:44793
    • 提供者:王哲
  1. sanjiaobo

    0下载:
  2. 一个用51单片机写的简单的三角波,能够良好显示波形-A microcontroller with 51 write a simple triangle wave, waveform display can be well
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-28
    • 文件大小:20704
    • 提供者:刘坤兰
  1. function-of-fangbozhenxianandsanjiao

    0下载:
  2. 基于FPGA的函数信号发生器VHDL设计,包括方波、三角波和正弦波-FPGA-based VHDL design function signal generator, including a square wave, triangle wave and sine
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:119960
    • 提供者:eeant
  1. a

    0下载:
  2. 函数发生器由波形选择开关控制波形的输出,分别能输出方波、三角波、正弦波波形-Function generator waveform by the waveform selector switch control output, respectively, can output square wave, triangle wave, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:19738
    • 提供者:张木月
  1. boxingshengcheng

    0下载:
  2. 信号发生器,可以生成不同频率幅值的正弦波,三角波等,还可以生成合成波形-Signal Generator
  3. 所属分类:LabView

    • 发布日期:2017-11-16
    • 文件大小:12612
    • 提供者:小小
  1. signals

    0下载:
  2. 六种信号发生器,正弦波,方波,阶梯波,三角波,上升锯齿波,下降锯齿波-Six kinds of signal generator, sine wave, square wave, step wave, triangle wave, sawtooth up, sawtooth down
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:2268548
    • 提供者:张明
  1. STM32waveform-settings

    0下载:
  2. 基于STM32的函数信号发生器的波形设置,包括正弦波、方波、三角波、锯齿波。-STM32-based function generator waveform settings, including sine, square, triangle wave, sawtooth wave.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-01
    • 文件大小:3640
    • 提供者:毕研庚
  1. signal-generator-v1.0

    0下载:
  2. 基于C8051F020单片机的信号发生器设计,实现的功能输出波形:正弦波,方波,三角波,频率100Hz~10KHz(未使用DDS设计)-Based on C8051F020 MCU signal generator design, functions output waveforms: sine, square, triangle wave, frequency 100Hz ~ 10KHz (unused DDS design)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-19
    • 文件大小:123764
    • 提供者:mengchenyezi
  1. xinhaofashengqi

    1下载:
  2. 本设计的目的是产生方波,正弦波,锯齿波,三角波四种波形,采用At89S52,DAC0832来产生波行,要求频率可调,显示部分采用LCD1602来显示当前波形的类型及其频率。-The purpose of this design is to produce a square wave, sine, sawtooth, triangle wave four types of waveforms, using At89S52, DAC0832 to generate wave line, requir
  3. 所属分类:Other systems

    • 发布日期:2017-11-26
    • 文件大小:125793
    • 提供者:立方米
  1. generator

    0下载:
  2. 波形发生器,能产生正弦波、方波、三角波、锯齿波-This is a generator designed by labview.
  3. 所属分类:LabView

    • 发布日期:2017-12-05
    • 文件大小:25799
    • 提供者:Tsai
« 1 2 ... 37 38 39 40 41 4243 44 45 46 47 ... 50 »
搜珍网 www.dssz.com