CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 串行 vhdl

搜索资源列表

  1. ps

    0下载:
  2. VHDL语言编写的串并转换模块的源代码,用来将并行输入数据转换为串行数据输出-code for the transform of ps
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1475
    • 提供者:李明
  1. SDHAnalysis

    2下载:
  2. 光纤通信中的SDH数据帧解析及提取的VHDL实现源代码,共包含帧同步、E1及F1码流提取、DCC1码流提取、帧头开销串行输出四个主要模块-SDH fiber-optic communication data frame analysis and retrieval implementation of VHDL source code, include the frame synchronization, E1 and F1 stream extraction, DCC1 stream extra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:31485
    • 提供者:张晓彬
  1. PiSo

    0下载:
  2. 8位并行输入的数转换成串行输出,是基于高级硬件编程语言VHDL编写的。-8-bit parallel input into serial output digital conversion is based on the high-level hardware programming language VHDL prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:196008
    • 提供者:zhangzhen
  1. REACH

    0下载:
  2. 基于VHDL的异步串行通信电路设计 随着电子技术的发展,现场可编程门阵列FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子CAD软件,在实验室里就可以设计自己的专用集成电路ASIC器件。这种可编程ASIC不仅使设计的产品-VHDL-based asynchronous serial communication circuit design with the advent of electronic technology, field programmable g
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1701
    • 提供者:chaiyiming
  1. rei2c

    0下载:
  2. 用VHDL编写的quartusii平台上的串行EEPROM配置读取的程序。-Quartusii prepared using VHDL platform to read the serial EEPROM configuration procedures.
  3. 所属分类:Com Port

    • 发布日期:2017-04-25
    • 文件大小:299743
    • 提供者:Tangyao
  1. VHDL_CPLD_serial

    0下载:
  2. 基于VHDL语言的一个新型串行数字通信模块。-Based on the VHDL language, a new serial digital communication module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:46508
    • 提供者:李超
  1. serial_implementation

    0下载:
  2. VHDL 实现 有限冲击响应滤波器的设计(串行式)-VHDL realization of finite impulse response filter design (Serial)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1102
    • 提供者:秦宜
  1. traffic

    0下载:
  2. 接口如下所示:clk:时钟输入端,此信号是串行扫描的同步信号; data_control[7..0]:8个分别控制数码管显示的输入信号; led_addr[7..0]:对8个数码管进行串行扫描的输出控制信号; seg7_data[6..0]驱动7段数码管各显示段的输出信号; -VHDL programing
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4653
    • 提供者:lidan
  1. fir8

    0下载:
  2. 用verilog编写的8阶串行fir滤波器-verilog vhdl fir
  3. 所属分类:DSP program

    • 发布日期:2017-04-05
    • 文件大小:983
    • 提供者:2000flash
  1. FPGA

    0下载:
  2. FPGA和单片机串行通信接口的实现,VHDL的源代码。-And single-chip FPGA realization of serial communication interface, VHDL source code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:8725
    • 提供者:chengjun
  1. Sim_SDAIN

    0下载:
  2. 并行数据转换串行数据发生VHDL程序,需要的同志可以看看是否可以用 自己写的-Serial data in parallel data conversion process occurred in VHDL, the comrades need to see if it can be written in their own
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:2625
    • 提供者:徐志平
  1. yiwei2

    0下载:
  2. 16位并行数据转换成串行数据,适用于FPGA与单片几之间的通信问题 (VHDL 编程)-FPGA VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:110041
    • 提供者:zhangfengjie
  1. uart

    0下载:
  2. 异步串行接口设计 vhdl设计 fpga下载模拟-this is a vhdl programm
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:10312
    • 提供者:jack
  1. tongxunjiekou

    0下载:
  2. 基于VHDL语言,实现串行通讯接口功能的主程序-The use of VHDL language implementation of the serial communication interface program
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-07
    • 文件大小:13922
    • 提供者:小涛
  1. uart_VHDL

    0下载:
  2. 基于VHDL的异步串行通信电路uart的设计-VHDL-based asynchronous serial communication circuit design uart
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:25164
    • 提供者:chenke
  1. FPGA_RS232

    0下载:
  2. 为增加系统稳定性,减小电路板面积,提出一种基于FPGA的异步串行口IP核设计。该设计使用VHDL硬件描述语言时接收和发送模块在Xilinx ISE环境下设计与仿真。最后在FPGA上嵌入UART IP核实现电路的异步串行通信功能。该IP核具有模块化、兼容性和可配置性,可根据需要实现功能的升级、扩充和裁减。-In order to increase system stability, reduce board space, presents a FPGA-based asynchronous ser
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:214924
    • 提供者:jalon
  1. FIRFIR1

    0下载:
  2. 基于FPGA的FIR串行滤波器设计与实现,本文运用VHDL编写-FPGA-based FIR filter design and implementation of the serial, the paper prepared by the use of VHDL
  3. 所属分类:MPI

    • 发布日期:2017-04-10
    • 文件大小:739
    • 提供者:刘宁
  1. zy4668_ybcxjk

    0下载:
  2. 本源码实现的功能是用VHDL编写异步串行接口设计-The source VHDL implementation of the function is the preparation of Asynchronous Serial Interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2685578
    • 提供者:张楠
  1. 8bitadder

    0下载:
  2. 串行8位加法器工程,已编译成功.标准代码VHDL语言-Serial 8-bit adder works have been compiled successfully
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:303595
    • 提供者:gaomeng
  1. communications_1

    1下载:
  2. 用vhdl代码描述的通信系统仿真程序。包括信源(20位m序列),crc编码(采用串行算法),加噪(用22位m序列产生稀疏的1,然后和编码后的数据异或)。-Vhdl code with the simulation program described in the communication system. Including the source (20 m sequence), crc code (using the serial algorithm), noise (with 22 m se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:483636
    • 提供者:李修函
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com