CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯fpga

搜索资源列表

  1. 基于fpga的交通灯

    0下载:
  2. 这个是基于fpga,编程语言主要用的是verilog。
  3. 所属分类:源码下载

    • 发布日期:2012-03-16
    • 文件大小:112240
    • 提供者:nightbreeze
  1. 基于fpga的交通灯

    0下载:
  2. 这个是基于fpga,编程语言主要用的是verilog。
  3. 所属分类:源码下载

    • 发布日期:2012-03-16
    • 文件大小:112240
    • 提供者:nightbreeze
  1. FPGA

    0下载:
  2. FPGA学习资料,包括EDA实验程序,以及一些小程序,如交通灯的设计-FPGA learning materials, including the EDA experimental procedures, as well as a number of small procedures, such as the design of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9467443
    • 提供者:解玉芳
  1. Mars_EP1C6F_Comprehansive_demo(VHDL)

    0下载:
  2. FPGA开发板配套VHDL代码。芯片为Mars EP1C6F。综合实验的源码。包括交通灯实验等。-FPGA development board support VHDL code. Chips for the Mars EP1C6F. General experimental source. Experiments, including traffic lights.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:806261
    • 提供者:chenlu
  1. traffic

    0下载:
  2. 交通灯 vhdl 进程
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:865844
    • 提供者:吴小平
  1. C51_module

    0下载:
  2. 积累的c51编程小模块,内附22个源代码,涉及到单片机、串口、fpga、交通灯、ad、da、按键扫瞄、液晶显示、电子钟、数据处理等。可供参考。-C51 programming accumulated a small module, containing 22 source code, related to a single chip, serial, fpga, traffic lights, ad, da, keypad scanning, LCD display, data processi
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:21914
    • 提供者:张尅
  1. hdl

    0下载:
  2. ACTEL FPGA 交通灯,Verilog描述-ACTEL FPGA traffic lights, Verilog descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5655
    • 提供者:gouyouwen
  1. FPGA

    0下载:
  2. sin函数 交通灯 加法器的vhdl代码 自写,参考-sin function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3784
    • 提供者:
  1. FPGA

    1下载:
  2. FPGA交通灯说明: 1. 本程序使用VHDL加原理图方式设计而成。 2. 实验时,使用Quartus II软件完成了工程管理与下载验证,使用max+plus II软件进行了功能仿真。 3. 由于实验当时对原理图文件缺乏足够的认识,导致原原理图以及仿真输出文件已经丢失。现在的工程 RTL视图以及仿真输出波形均是在Quartus II软件下得到的。-FPGA traffic lights shows:1procedures for the use of the VHDL sch
  3. 所属分类:VHDL编程

    • 发布日期:2018-04-18
    • 文件大小:455885
    • 提供者:WangQunfeng
  1. FPGA-traffic-light

    1下载:
  2. 基于FPGA的交通灯控制器的设计,利用的是verilog HDL 语言。-design of traffic light based on FPGA,use the veriog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1088
    • 提供者:夏勇
  1. FPGA

    0下载:
  2. 这是一种日常十字路口交通灯的控制程序,很不错的-This is a daily crossroads traffic lights control procedures, very good
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-28
    • 文件大小:572416
    • 提供者:唐湘松
  1. FPGA-traffic-light

    0下载:
  2. 使用FPGA描绘交通灯工作情况,Altera公司芯片,已验证成功-It s used for the working of the traffic light,which using the Altera company s chip.
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:545134
    • 提供者:虞玲瑶
  1. FPGA--TRAFFIC-LIGHT-LIN

    0下载:
  2. FPGA的VHDL程序课程设计。智能交通灯,可以使四路有效灯实现交叉交通警报提示。-FPGA VHDL program curriculum design. Intelligent traffic lights, you can make four lights to achieve effective cross-traffic alert notification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:29133573
    • 提供者:linyuanxin
  1. jiotongdeng

    0下载:
  2. 交通灯 fpga 电路图 源程序 仿真 quartus-Traffic light source fpga circuit diagram simulation quartusII
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2469021
    • 提供者:木子静
  1. traffic-control-best-on-FPGA

    0下载:
  2. 附件包括1.基于FPGA实现交通灯控制的ISE工程2.对应的课程设计报告一份3.重要说明一份。使用的软件平台为ISE13.3,硬件平台为spartan-3。-traffic control
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:2019808
    • 提供者:zhulinglei
  1. fpga-Verilog_traffic

    0下载:
  2. 使用verilog语言描述交通灯的功能,已通过实验验证,可直接使用-Using Verilog language to describe the function of traffic lights, has been verified by experiments, can be directly used
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:123724
    • 提供者:钟朗朗
  1. EDA-FPGA-traffic

    1下载:
  2. 该设计严格按照现实中的交通灯设计,利用vhdl硬件描述语言实现,设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、 红、绿、黄发光二极管作信号灯,。 3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3492561
    • 提供者:刘鹏坤
  1. jiaotongdeng-FPGA

    0下载:
  2. 交通灯控制器控制两个主干道交叉路口的交通,路口车辆多,直行信号、左转弯信号分开显示,a,b两个主干道的通行时间相等,其中指示直行的绿灯亮30 s,指示左转弯的绿灯亮12 s,绿灯变至红灯时,黄灯亮3 s,以便于车辆能停在停车线内,红灯信号的最后3 s相应的黄灯也同时亮,以便提示驾驶人员准备起步。在两个主干道路口都配备传感器用来检测有无车辆通行。当两个主干道都有车辆时,自动处于主干道a绿灯,主干道b红灯的状态,然后轮流切换通行。当主干道a无车辆时,自动处于主干道b绿灯,主干道a红灯的状态;反之亦然
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2206074
    • 提供者:江楠
  1. FPGA

    0下载:
  2. 带按键功能,左转灯,设置时间,红灯模式等功能(With key function, left turn light, setting time, red light mode and so on)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:920576
    • 提供者:qwsazx
  1. jiaotongdeng_fuza

    1下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-24
    • 文件大小:5611520
    • 提供者:威威谈谈
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com