CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 伪随机序列

搜索资源列表

  1. 伪随机序列的说明和源代码

    0下载:
  2. 可控m序列产生器我分成四个小模块来做,M,M1,M2,M3分别对应为:m序列产生器、控制器、码长选择器、码速率选择器。-controllable m-sequence generator, I divided into four small modules do, M, M1, M2, M3, respectively : m-sequence generator, controller, code-selector, code rate selector.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:32624
    • 提供者:王力
  1. greatQPSK

    1下载:
  2. QPSK的Matlab实现 使用伪随机序列,低通滤波,可看图-QPSK Matlab using the pseudo-random sequence, low-pass filtering, flashcards
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:4750
    • 提供者:李继泉
  1. PN15SynChro

    0下载:
  2. 一个产生PN15伪随机序列并模拟噪声干扰和统计误码率的通讯系统仿真程序,主要实现了PN序列的同步算法和实时误码统计-PN15 have a pseudo-random sequence and noise simulation and statistical error rate communications system simulation program, the main PN sequence of real-time synchronization algorithm and err
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:259266
    • 提供者:辉辉
  1. 单片机M序列发生器

    5下载:
  2. 基于51单片机实现的M序列发生器(伪随机序列),在Keil编程环境下的源码
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2013-01-25
    • 文件大小:2554
    • 提供者:John
  1. 一个新的伪随机序列编码源程序

    0下载:
  2. 这是一个较新的伪随机数生成程序,是用汇编语言编写的,不错-This is a relatively new pseudo-random number generator procedure is written in assembly language, good
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1494
    • 提供者:gcl
  1. gold.rar

    0下载:
  2. 伪随机gold序列产生程序, The pseudo-random gold sequence has the procedure
  3. 所属分类:

    • 发布日期:2017-11-09
    • 文件大小:2470
    • 提供者:樊文
  1. LFSRRC4

    0下载:
  2. 伪随机数发生器LFSR RC4加密与解密 根据算法原理,首先初始化S-BOX,产生伪随机序列密钥流,选择所加密文件与密钥流异或生成密文 -Pseudo-random number generator LFSR RC4 algorithm for encryption and decryption based on the principle, first initialize the S-BOX, pseudo-random sequence generated key stream,
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-09
    • 文件大小:1942687
    • 提供者:王风
  1. 123dfa23

    0下载:
  2. M伪随机序列生成器算法 这个Matlab算法用于生成二元、三元及五级的,m序列。可以用于直接扩频通信等 系统中的,伪随机序列生成器。-M pseudo-random sequence generator algorithm for the Matlab algorithm is used to generate binary, ternary, and five of the, m sequence. Can be used to direct spread spectrum commu
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:3681
    • 提供者:adu
  1. weisuiji

    1下载:
  2. 伪随机序列的产生,伪随机序列的自相关函数等-Pseudo-random sequence generation, pseudo-random sequence of auto-correlation function, etc.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-11
    • 文件大小:1266
    • 提供者:gavin161
  1. GenPN

    0下载:
  2. 生成PN序列(伪随机噪声序列),阶数包括2阶,3阶,4阶,5阶,6阶,7阶,8阶。并在前面补0后形成相应的2的幂次长的序列。-Generated PN sequences (pseudo-random noise sequence), including the orders of 2 order, 3 order, 4 order, 5 order, 6 order, 7 order, 8 order. And fill in front of 0 after the formation of
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:980
    • 提供者:徐翼
  1. LFSRchengxu

    0下载:
  2. 利用LFSR生成伪随机序列(m序列) 对待隐藏的图像进行加密然后利用线性插值的方法隐藏于载体图像中。 代码很完整 用的是MATLAB7.0-Use LFSR to generate pseudo-random sequence (m sequence) to treat encryption to hide the image and then use linear interpolation method of hidden images in the vector. Complete cod
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1040
    • 提供者:Yummy
  1. Needtostudy

    0下载:
  2. 直接序列扩频代码。。。包括15位的伪随机序列生成器-DSSS communication system s simulation code,include 15-bit persudo code generator
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1909
    • 提供者:
  1. ccc

    0下载:
  2. 随机过程仿真必备,伪随机序列的Matlab实现与分析.kdh-Stochastic process simulation necessary, pseudo-random sequence of Matlab Implementation and Analysis. Kdh
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:87289
    • 提供者:nannyp
  1. m_vhdl

    0下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。-Design a pseudo-random sequence generator, using the generating polynomial 1+ X ^ 3+ X ^ 7. Requires a RESET terminal end and two control registers to adjust the initial valu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:660
    • 提供者:haodiangei
  1. kuopin

    0下载:
  2. 直接序列扩频系统(DS,Direct Sequence)又称为直接序列调制系统或伪噪声系统(PN 系统),简称为直扩系统,是目前应用较为广泛的一种扩展频谱系统。要传送的信息经伪随机序列编码后对载波进行调制。在发信端越冬用扩频序列去扩展信号的频谱,在收信端,用相同的扩频友序列进行解扩,将展宽的频谱扩展信号还原成原始信号。因为伪随机序列的速率远大于要传送信息的速率,所以受调信号的频谱宽度将远大于要传送信息的频谱宽度,帮称之为扩频-Direct sequence spread spectrum (DS
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:960
    • 提供者:song
  1. 函数发生器和基于单片机的伪随机序列

    0下载:
  2. 基于单片机的用C语言实现的函数发生器和基于单片机的伪随机发生器,产生m序列的,也是用C实现的。
  3. 所属分类:C#编程

  1. 20180125_5M_01

    0下载:
  2. 基于verilog产生伪随机二进制序列,序列速率为5M(A pseudo-random binary sequence based on verilog.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:4717568
    • 提供者:沿途ing
  1. m_gen

    0下载:
  2. matlab实现m伪随机序列,可以随意生成随机数(M sequence generated by the matlab software,and it can be change by yourself)
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:1024
    • 提供者:崽崽亮哥
  1. M伪随机序列

    0下载:
  2. 本文首先简单地介绍了M序列的原理,接着在MATLAB中用语言编程实现M序列的产生和分析,仿真结果验证了该方法的正确性和可行性。
  3. 所属分类:编程文档

  1. m序列发生器

    0下载:
  2. m序列的仿真产生文件,用于产生伪随机序列。
  3. 所属分类:仿真建模

« 1 2 34 5 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com