CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 余额

搜索资源列表

  1. Assignment1_JingjingWang

    0下载:
  2. 银行储蓄系统...是经过java 编写...有存款取款.查询余额.交易记录.等一体的积累-bank account system
  3. 所属分类:JavaScript

    • 发布日期:2017-04-03
    • 文件大小:20012
    • 提供者:白修诚
  1. BANK

    0下载:
  2. C#编写,基本功能都实现了,比如说存款、取款、查询余额、交易记录、注销等-C#, the basic functions are realized, such as deposits, withdrawals, balance inquiries, transaction records, and log
  3. 所属分类:CSharp

    • 发布日期:2017-04-16
    • 文件大小:82551
    • 提供者:葛存鹏
  1. java_exception_class

    0下载:
  2. java异常类实验。模拟银行取款,若余额不足则抛出异常,向上抛出。-Java is similar to an experiment extraordinarily. The simulation bank fetches money , casts an anomaly if balance is insufficient, ejection upward. .
  3. 所属分类:JavaScript

    • 发布日期:2017-04-03
    • 文件大小:649
    • 提供者:王枫
  1. javamysql

    0下载:
  2. 主要编写的是信用卡管理系统,登陆后 有余额查询,信用卡还款-Mainly prepared by a credit card management system, the balance after landing check, credit card repayment
  3. 所属分类:Java Develop

    • 发布日期:2017-04-05
    • 文件大小:987161
    • 提供者:zhang
  1. hdgdcbb

    0下载:
  2. 饭卡信息包括:饭卡号码、饭卡所有者姓名、初次办卡时间、余额。其中,饭卡的所有者分为学生、教师、临时人员,学生有各自的班级号,教师有所属学院。-Fanka information includes: Fanka number, Fan Ka owner name, first to do time card balance. Among them, the owner of Fanka divided into students, teachers, temporary staff, studen
  3. 所属分类:Java Develop

    • 发布日期:2017-04-02
    • 文件大小:776740
    • 提供者:方大牙
  1. ATM

    0下载:
  2. 使用Eclipse和office access,实现了数据库的连接,能够对账户的余额查询、取款、存款、修改密码进行操作。-Use Eclipse and office access, database connectivity to achieve, can account balance inquiries, withdrawals, deposits, change your password to operate.
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:49770
    • 提供者:晨风
  1. pl_autoVHDL

    0下载:
  2. 自动售货机VHDL。具有货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-Vending machine VHDL. With the cargo information storage, process control, coin handling, balance calculation, display and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:143397
    • 提供者:liulu
  1. atm

    2下载:
  2. .TM柜员机模拟程序: 当输入给定的卡号和密码(初始卡号和密码为123456)时,系统能登录ATM柜员机系统,用户可以进行: 1 查询余额:初始余额为10000元 2 ATM取款:每次取款金额为100的倍数,总额不超过5000元,支取金额不允许透支。 3 ATM存款:不能出现负存款。 4 修改密码:新密码长度不小于6位,不允许出现6位完全相同的情况,只有旧密码正确,新密码符合要求,且两次输入相同的情况下才可以成功修改密码。- TM ATM simulation program
  3. 所属分类:Java Develop

    • 发布日期:2017-04-06
    • 文件大小:53546
    • 提供者:康健
  1. StoreManageV100

    1下载:
  2. 库存管理系统 模块分析 基本模块与功能: 1.系统 包括用户管理,密码管理,关闭系统,帮助:本系统的帮助信息,包括应用程序的版本信息; 2.库存管理 货品信息管理:对仓库里的货品进行登记; 货品库存管理:管理仓库的库存情况; 短线货品管理:对仓库里短线的货品进行登记,以便及时采购补货; 超储货品管理:对仓库里超储的货品进行登记,控制库存量,减少进货; 3.入库管理 入库登记管理:能对货品的入库登记,删除,更改等; 入库期间统计:货品
  3. 所属分类:ERP-EIP-OA-Portal

    • 发布日期:2017-04-06
    • 文件大小:757769
    • 提供者:李红
  1. ZiDongShouHuoJi(VHDL)

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2010.3.23。 -vending machine(VHDL)program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:143392
    • 提供者:梁辰
  1. atm

    0下载:
  2. ATM 自动取款机 取款,余额,转账,-ATM automated teller machine withdrawals, balance transfers ,····
  3. 所属分类:Windows Kernel

    • 发布日期:2017-05-10
    • 文件大小:2406136
    • 提供者:赵孝琦
  1. zidongshouhuojisheji

    1下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited system of state, state, the v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:34587
    • 提供者:高菲悦
  1. pospboc

    0下载:
  2. 1.1 联机交易功能 1.1.1 余额查询(0200/0210) 持卡人在POS终端查询所持卡账户余额的交易。查询的结果为账户的可用余额。该交易 不参加资金清算。 1.1.2 消费(0200/0210) 是指持卡人在特约商户消费时用银行卡结算的交易,经批准的消费额即时地反映到该持 卡人的账户余额上。 1.1.3 消费撤销(0200/0210)-1.1 Online balance inquiry transaction function 1.1.1 (0200/021
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:330952
    • 提供者:sulonshen
  1. yinhangzhanghuguanli

    0下载:
  2. 银行账户管理,主要是账户人员的增加或者是减少,而且账户余额的存储!-yinhangzhanghuguanli!
  3. 所属分类:PropertySheet

    • 发布日期:2017-04-10
    • 文件大小:1135164
    • 提供者:王全虎
  1. VisuaLFORTRANGUIDE

    0下载:
  2. 告诉初学者如何快速提高fortran 使用技能的书。有利于初学者快速掌握编程的一半余额则。-Tell beginners how to quickly improve fortran use skills book. Help beginners to grasp the programming half of the balance.
  3. 所属分类:Algorithm

    • 发布日期:2017-05-25
    • 文件大小:8683195
    • 提供者:guanzy
  1. NetBar

    0下载:
  2. ATM取款,存款,查看余额,结算等等功能-ATM function money
  3. 所属分类:Java Develop

    • 发布日期:2017-04-17
    • 文件大小:105143
    • 提供者:心言
  1. ATM

    0下载:
  2. 用java模拟ATM机的进入界面、存款、取款、查询余额等功能。-ATM machine with a java simulation into the interface, deposits, withdrawals, balance inquiries and other functions.
  3. 所属分类:Java Develop

    • 发布日期:2017-04-04
    • 文件大小:13988
    • 提供者:李启晨
  1. Text

    0下载:
  2. 提款机实现了存取功能(利用了异常)并可以查询余额~~显示余额等功能-ATM
  3. 所属分类:Finance-Stock software system

    • 发布日期:2017-04-13
    • 文件大小:1645
    • 提供者:yulong
  1. jjjjjjjjjj

    0下载:
  2. 银行贷款的分期还贷模拟:设每月月末贷款余额B(k)为月初全额与月利息的和再减去本月末的还贷额P(k-DFGVFGGG
  3. 所属分类:matlab

    • 发布日期:2017-04-17
    • 文件大小:183260
    • 提供者:刘驰
  1. TestBankCard

    0下载:
  2. 编程实现一个银行卡类,其中包括“账号”,“姓名”,“密码”,“存款与余额”1当用户输入的账号和密码正确时,输出卡的属性。2当用户存款输入金额,确认无误后显示银行卡余额。 3:当用户取款1000,输入的账号和密码正确及取款小于卡中余额,显示余额-Programming a bank cards, including the " Account" , " name" , " password" , " deposits and balanc
  3. 所属分类:Java Develop

    • 发布日期:2017-04-02
    • 文件大小:1014
    • 提供者:蒙子
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 27 »
搜珍网 www.dssz.com