CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 信号仿真

搜索资源列表

  1. fsk信号调制

    0下载:
  2. 通过MATLAB编程实现对fsk调制方式误码率的仿真!(Through MATLAB programming to achieve FSK modulation mode error rate simulation.)
  3. 所属分类:matlab例程

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:FIGHTOR77
  1. psk调制仿真

    0下载:
  2. 用MATLAB编程实现psk调制信号的误码率仿真(Simulation of PSK modulation signal bit error rate using MATLAB programming)
  3. 所属分类:通讯编程

    • 发布日期:2018-05-06
    • 文件大小:1024
    • 提供者:FIGHTOR77
  1. 信号与系统实验程序文件

    0下载:
  2. 信号与系统;仿真;MATLAB;例子;实验;(Signal and system; simulation; MATLAB; example)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-03
    • 文件大小:649216
    • 提供者:Lonely_god
  1. 电子秤proteus仿真+程序资料

    0下载:
  2. 利用压力传感器采集因压力变化而产生的电压信号,然后经模数转换器转换成数字信号最后把数字信号送入单片机(Design of electronic scale)
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:204800
    • 提供者:放飞人夜
  1. MATLAB 自适应信号控制1

    1下载:
  2. 道路交叉口信号配时自适应控制算法 还有软件仿真实现(The algorithm of signal timing adaptive control at Road intersection and software simulation is realized.)
  3. 所属分类:其他

    • 发布日期:2018-05-07
    • 文件大小:3013632
    • 提供者:murager
  1. 直流电机PID调速仿真

    2下载:
  2. 直流电机PID调速仿真研究直流电机控制原理,分析现有直流电机控制系统的优缺点; 2、设计基于单片机的直流电机调速系统,包括单片机系统、驱动系统、人机接口模块,、信号处理模块等,设计出电路原理图;(Dc motor PID control principle of dc motor speed control simulation, analysis the advantages and disadvantages of the existing dc motor control system;
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-06-23
    • 文件大小:162816
    • 提供者:hhhhhhsss
  1. 大气信道仿真代码

    2下载:
  2. 大气信道仿真代码,仿真大气信道在不同天气对激光信号的衰减情况有关;单球雨粒子的散射计算;用MIE散射理论,计算单球粒子对平面光波的散射(The simulation code of atmospheric channel emulation the attenuation of the laser signal in different weather, the calculation of the scattering of single ball of rain particles, and
  3. 所属分类:matlab例程

    • 发布日期:2018-10-02
    • 文件大小:1024
    • 提供者:priorqty
  1. 莱斯信道Matlab仿真

    3下载:
  2. 本文给出了FSK调制后的信号在经过多径莱斯信道后解调的完整Matlab仿真代码,供有同样仿真需求的小伙伴参看。(In this paper, a complete Matlab simulation code for FSK modulated signal after multipath Rician channel demodulation is given.)
  3. 所属分类:通讯编程

    • 发布日期:2019-07-25
    • 文件大小:2048
    • 提供者:zhangyunhe666
  1. data

    1下载:
  2. 针对室内麦克风阵列仿真信号的产生,有混响,可以添加任意角度的噪声。(For indoor microphone array simulation signal generation, reverberation, can add any angle noise.)
  3. 所属分类:其他

    • 发布日期:2020-01-06
    • 文件大小:11264
    • 提供者:suffer 0.0
  1. GPS卫星发射信号模拟

    1下载:
  2. 用matlab对GPS卫星发射信号进行模拟仿真(Simulation of GPS Satellite Launch Signal)
  3. 所属分类:其他

    • 发布日期:2020-05-07
    • 文件大小:1024
    • 提供者:Twilight007
  1. add_noise_pinyutu

    2下载:
  2. 进行纯净信号和加噪信号的时频域显示,及其包络图显示,并计算加噪信号的信噪比、互相关系数、峭度值,对信号进行离散余弦变换。(The time-frequency domain display of pure signal and noise-added signal and its envelope diagram display are carried out, and the signal-to-noise ratio, correlation coefficient and kurtosis
  3. 所属分类:图形图像处理

    • 发布日期:2020-04-19
    • 文件大小:1024
    • 提供者:li1740206829
  1. 无位置传感器无刷直流电机控制系统仿真

    3下载:
  2. 只要检测到反电势过零点就可以得到转子的位置信号,就可以实现无刷直流电机的无位置传感器控制。(As long as the position of the rotor is detected by detecting the zero-crossing of the back-EM potential, the position sensorless control of the brushless DC motor can be realized.)
  3. 所属分类:matlab例程

    • 发布日期:2019-09-29
    • 文件大小:19456
    • 提供者:MecyYoung
  1. 线性调频(LFM)脉冲压缩雷达仿真 - 副本

    1下载:
  2. 线性调频信号脉冲压缩的原理及matlab仿真的详细步骤,进一步理解雷达成像的基础知识(The principle of pulse compression of LFM signal and the detailed steps of MATLAB simulation further understand the basic knowledge of radar imaging)
  3. 所属分类:文章/文档

    • 发布日期:2020-08-27
    • 文件大小:165888
    • 提供者:清石
  1. DSP MATLAB仿真

    1下载:
  2. 关于各种数字信号处理滤波器的matlab仿真(Matlab simulation of various digital signal processing filters)
  3. 所属分类:matlab例程

    • 发布日期:2019-12-24
    • 文件大小:234496
    • 提供者:云喜
  1. 双音多频(DTMF)信号的MATLAB仿真

    0下载:
  2. 双音多频(DTMF)信号的MATLAB仿真,已经通过调试 含源码及课设报告(Matlab simulation of DTMF signal has passed debugging, including source code and course design report)
  3. 所属分类:matlab例程

    • 发布日期:2020-10-21
    • 文件大小:68608
    • 提供者:q落羽
  1. VMD信号分解算法

    1下载:
  2. 针对信号分解不完全,有利用VMD可以很好的分解信号,可以分解滚动轴承的原始数据和自己的信号仿真数据。本程序写法规矩,能够给初学者带来学习机会,并且里面还附有学习的demo。
  3. 所属分类:matlab例程

    • 发布日期:2020-03-21
    • 文件大小:3832
    • 提供者:Science_wu
  1. MATLAB 7.0 在数字信号处理中的应用(罗军辉

    1下载:
  2. 较为全面的讲述了雷达数字信号处理方面的应用,最后面介绍了雷达仿真(This paper introduces the application of radar digital signal processing in a comprehensive way, and radar simulation in the last part)
  3. 所属分类:其他

    • 发布日期:2020-12-06
    • 文件大小:1999872
    • 提供者:行一实真
  1. 雷达信号理论的MATLAB(林茂庸著)

    0下载:
  2. 该压缩包为雷达信号基础得matlab仿真程序(Matlab simulation program of radar signal based on this compression package)
  3. 所属分类:其他

    • 发布日期:2020-05-01
    • 文件大小:24576
    • 提供者:Hide_0n_bush
  1. uwb信号

    0下载:
  2. uwb信号仿真,超宽带无线电基础代码,uwb信号的频谱分析
  3. 所属分类:电子商务

    • 发布日期:2020-05-03
    • 文件大小:68366550
    • 提供者:qqwert
  1. Chirp信号的匹配滤波

    2下载:
  2. 利用matlab仿真产生线性调频信号,并实现线性调频信号的匹配滤波。(Using matlab simulation to generate LFM signal and realize matched filtering of LFM signal.)
  3. 所属分类:matlab例程

    • 发布日期:2021-01-21
    • 文件大小:70656
    • 提供者:zoelijunxia
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com