CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 信号仿真

搜索资源列表

  1. e

    0下载:
  2. 虚拟仪器代表着目前测试仪器领域的发展方向,LabⅥEw语言是一种功能强大的仪器开发平台。对淹没在噪声中声发射信号的有效提取(去噪)是声发射信号处理技术的第一步,也是声发射信号处理的关键所在。本文介绍了基于小波变换的阈值去噪方法。在LabⅥEw平台上,通过仿真试验,对声发射信号的几种阈值法的去噪结果进行比较,选出一种适合声发射信号去噪的阈值准则。
  3. 所属分类:行业发展研究

    • 发布日期:2008-10-13
    • 文件大小:177970
    • 提供者:康康
  1. Untitled27

    0下载:
  2. 利用MATLAB,对16QAM信号进行仿真。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1300
    • 提供者:李大
  1. plbj

    4下载:
  2. 频率步进信号模糊函数 模糊图仿真 以及时域波形、频谱分析
  3. 所属分类:绘图程序

    • 发布日期:2008-10-13
    • 文件大小:1581916
    • 提供者:fyj
  1. ssssssss

    1下载:
  2. 用IFFT算法对雷达信号回波进行检测 matlab仿真
  3. 所属分类:2D图形编程

    • 发布日期:2008-10-13
    • 文件大小:2103
    • 提供者:fyj
  1. fzxs

    3下载:
  2. 雷达信号回波仿真 多普勒
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1304
    • 提供者:fyj
  1. Jakes_Case3

    0下载:
  2. 信源信号经过Jakes信道后的冲激响应仿真
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1468
    • 提供者:王彦龙
  1. AKindofNon-orthogonalComplexWaveletKernelFunction.

    0下载:
  2. 指出了非线性动态信号参数辨识的重要性;分析了目前采用的方法的不足;对非正交复Morlet小波满足Mercy条件和再生性的命题作了证明;用复Morlet小波构建出一种核函数,与主分量分析方法相结合,对非线性动态信号进行参数辨识和预测;仿真结果验证了该方法的正确性和有效性,表明该方法具有较好的理论价值和实用价值。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:269242
    • 提供者:jingjing
  1. OFDM_Multipath_simulation

    0下载:
  2. OFDM信号在多径传输的信道环境下的系统仿真,希望大家有收获。
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:15590
    • 提供者:daxin.lv
  1. 4psk

    0下载:
  2. 4psk信号的蒙特卡洛误码率仿真,与理论误码率的对比
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2749
    • 提供者:
  1. Application_in_FPGA_design_of_Matlab_simulink

    0下载:
  2. 分析了MATLAB/Simulink 中DSP Builder 模块库在FPGA 设计中优点, 然后结合FSK 信号的产生原理,给出了如何利用DSP Builder 模块库建立FSK 信号发生器模 型,以及对FSK 信号发生器模型进行算法级仿真和生成VHDL 语言的方法,并在modelsim 中对FSK 信号发生器进行RTL 级仿真,最后介绍了在FPGA 芯片中实现FSK 信号发生器的设 计方法。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:275857
    • 提供者:普林斯
  1. 2005-9-5-M8AY1EQBIPZD4SWW

    0下载:
  2. 介绍了一种采用硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下的设计思路、Verilog HDL对系统硬件的描述和状态机的设计以及MAX+PLUSII开发软件的仿真。设计结果表明:该采集系统具有很高的实用价值,极大地提高了系统的信号处理能力。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:44022
    • 提供者:普林斯
  1. GSM

    2下载:
  2. 基于systemview的GSM通信系统仿真。一个实际的GSM系统的System View仿真模型包括:信号源、波形成型、调制、信道传输、射频接收、两次混频和解调器等部分。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:6815
    • 提供者:阿妹儿
  1. pplbq

    1下载:
  2. 线性调频信号通过匹配滤波器的程序 还有一些simulink仿真模型
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:90971
    • 提供者:haoshilin
  1. PCM

    0下载:
  2. pcm编码信号的仿真,对学习pcm编码的人 有很大的好处
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:1040
    • 提供者:郑东浩
  1. dspjtag

    0下载:
  2. J T AG 接口插座与DSP芯片的距离:为了保证JTAG信号不受干扰,需 要注意两者之间的距离不超过六英寸(15甲24厘米),超过这个距离,就需要在中 间加缓冲芯片。本设计中使用了244作为缓冲芯片,但其原因不是由于器件之间 距离过长,而是考虑到仿真器工作在5V电压,DSP引脚为3.3V,为了电平兼容 性而进行的电压转换功能。
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:298239
    • 提供者:feng
  1. MATLABbasebanddigitalsignaltransmissionsystemsimul

    1下载:
  2. 基于MATLAB的数字信号基带传输系统仿真
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:275008
    • 提供者:wwge
  1. sbq

    0下载:
  2. 本软件实现了示波器,信号发生器,频率计,万用表的功能,在音频范围内可完全替代上述仪器。这并不是仿真软件,而是实用的工具,这些虚拟仪器可以很好的工作。最简单的应用只需要两根电缆,一根输入,一根输出。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:64862
    • 提供者:付长洲
  1. leidajulijisuan

    1下载:
  2. 此程序给出了不同情况的雷达距离测量仿真平台,对学习雷达信号处理的非常有帮助
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:59770
    • 提供者:程凡永
  1. lunwen

    1下载:
  2. 信号在信道进行传输时,经常会出现串扰,采用基于最大似然序列估计(MLSE)的电子色散均衡器的方法,克服光纤通信中的由各种色散引起的码间干扰。 研究基于最大似然序列估计(MLSE)的均衡器,采用维特比算法实现,用matlab仿真出采用MLSE后的性能指标(眼图和误码率)的提升。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:241332
    • 提供者:Lee
  1. qpsk

    0下载:
  2. 关于QPSK的五篇文章,基于Matlab编程的QPSK的仿真(2).caj,浅谈QPSK调制技术.caj,_4_DQPSK调制解调技术的仿真及分析.caj,_4QPSK调制原理分析.caj,_4QPSK信号数字化调制仿真实现.kdh
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:2627954
    • 提供者:刘东娜
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com