CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 减法器

搜索资源列表

  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. BCD_subtracter

    0下载:
  2. VHDL编写的7位BCD减法器,可实现带小数点减法运算。-VHDL, 7 BCD subtraction, which can be achieved with a decimal point subtraction.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:866481
    • 提供者:liudongzhu
  1. jianfa_sub

    0下载:
  2. 基于FPGA的减法器的verilog程序源代码-FPGA-based subtractor verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:261292
    • 提供者:jiabaoqi
  1. jian

    0下载:
  2. 基于FPGA减法器,实现二进制减法功能,Altera为FPGA初学者详细介绍了FPGA基础知识以及怎样开始进行FPGA设计-FPGA-based subtractor achieve binary subtraction functions, Altera FPGA beginners as described in detail the basics of FPGA FPGA design and how to start
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:260113
    • 提供者:剑雨
  1. excess-3-code-adder-subtructer

    0下载:
  2. 余3码excess-3 code加法器和减法器,用vhdl实现-I 3 yards excess-3 code adder and subtractor using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5184792
    • 提供者:非南
  1. FinalDesign

    0下载:
  2. 实现逻辑门电路的绘制以及运算。并且实现了加法器、减法器、乘法器、比较器等运算-Implementation of logic gate drawing and operation. And implement the adder, subtracter, multiplier, comparator and other operations
  3. 所属分类:Java Develop

    • 发布日期:2017-03-26
    • 文件大小:777733
    • 提供者:张寅艳
  1. fdiv

    0下载:
  2. 用Quarters ii实现对减法器的仿真-In the Quarters ii realize the simulation of the subtracter
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-04-05
    • 文件大小:313237
    • 提供者:赵艳
  1. test8

    0下载:
  2. xilinx工程文件,test8.v是源代码,实现了逐位进位的加法器、减法器,和逻辑运算功能。运行通过,仿真成功。-Xilinx engineering documents, test8. V is the source code, to achieve the cascaded carry adder, subtracter, and logical operations function. Running through, the simulation is successful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:142878
    • 提供者:gjjh
  1. ALU_finished

    1下载:
  2. 8bit四级流水ALU 其中有乘法器除法器加法器减法器开方 移位逻辑运算等等通过顶层来控制选择输出需要的运算值-8bit four water which has a multiplier divider ALU adder subtracter prescribing controlled shift logic operations so operators need to select the output value by the top
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-06-17
    • 文件大小:3911680
    • 提供者:
  1. butterfly

    0下载:
  2. FFT模块里的蝶形运算单元,需要用到加法器,减法器,二选一选择器-FFT module of butterflies, need to use an adder, a subtracter, a second election selector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1682
    • 提供者:徐天伟
  1. adder_sub_mul

    0下载:
  2. 加法器,减法器,乘法器,超前进位,一位拓展成四位-adder and subber are written by the language of VerilogHDL one bit to four bits.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-27
    • 文件大小:394181
    • 提供者:Curie
  1. banjian

    0下载:
  2. 完成一个1位全减器的设计。以全减器为元件程序完成8位减法器设计。-Completed a one minus the whole design. Full reduction is to complete eight subtraction element program design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:157659
    • 提供者:zwq
  1. code

    0下载:
  2. 7位表决器,实现投票选择结果呈现; 减法器编码。-7 bit voting machine, realize the voting choice results present the encoding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:715
    • 提供者:李娜
  1. accsub

    0下载:
  2. 简单的加法器减法器程序代码,Verilog HDL初学者学习可以使用-Simple adder subtractor code, Verilog HDL beginners can use
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:1313
    • 提供者:金贝贝
  1. AnJian_1602

    0下载:
  2. 计算器设计。采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。-Calculator design. Using a field programmable logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13138994
    • 提供者:陈勒
  1. The-display-of-Subtraction

    0下载:
  2. 利用VHDL语言编写减法器,并利用七段数码管显示。-Using VHDL language to editing subtraction, and the use of seven digital tube display.
  3. 所属分类:Other systems

    • 发布日期:2017-05-05
    • 文件大小:160194
    • 提供者:申茂冬
  1. Serial-borrow-eight-subtracte

    0下载:
  2. 本程序实现了串行借位的八位减法器,采用VHDL语言实现。-This program implements eight serial borrow subtractor, using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:26015
    • 提供者:杨好人
  1. vlsi-design2

    0下载:
  2. 一位8421BCD编码的十进制数加减法器,电路具有进位、借位功能-A 8421BCD encoding decimal adder subtracter circuit has the function, carry out.
  3. 所属分类:HardWare Design

    • 发布日期:2017-05-05
    • 文件大小:98101
    • 提供者:james stag
  1. 加减法器

    0下载:
  2. 可实现两个4bit补码的加法及减法,有溢出提示(adder with overflow hint)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:239616
    • 提供者:tyne
  1. 基于VHDL实现单精度浮点数的加-减法运算

    1下载:
  2. vhdl 加法器和减法器 希望对VHDL的同学有参考作用(VHDL adder and function as relative reference)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-04-07
    • 文件大小:669696
    • 提供者:angryzookey
« 1 2 3 45 »
搜珍网 www.dssz.com