CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 减法器

搜索资源列表

  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. sum

    0下载:
  2. Javaweb编写的加法器,在网页中计算的,有加,减,乘,除四个功能-Javaweb preparation of the adder, in the calculation of the page, there is add, subtract, multiply, divide the four functions
  3. 所属分类:Java Develop

    • 发布日期:2017-04-07
    • 文件大小:15799
    • 提供者:liumanchao
  1. vhdlcoder

    1下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:59211
    • 提供者:李磊
  1. adder4-7seg

    0下载:
  2. 这段程序主要是实现了两个16进制的数据相加减,主要思想是由32位的进位加法器的来。目标板是spartan 3的实验板。-This program is to achieve a two-phase addition and subtraction of data 16 hex, the main idea is to carry the 32-bit adder to. Target board is spartan 3 development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:655045
    • 提供者:张元甲
  1. DOSCalculator

    0下载:
  2. dos 加法器 实现先乘除后加减,先括号内再括号外的依次运算。-dos adder to achieve the first after the addition and subtraction multiplication and division, first in brackets followed by further operations outside the parentheses.
  3. 所属分类:Data structs

    • 发布日期:2017-04-07
    • 文件大小:1482
    • 提供者:林子牧
  1. WindowsFormsApplication2

    0下载:
  2. C#窗体应用设计一个加法器 实现基本的加减乘除-C# form application design an adder to achieve the basic addition, subtraction
  3. 所属分类:CSharp

    • 发布日期:2017-04-04
    • 文件大小:50527
    • 提供者:melody
  1. WXZ

    0下载:
  2. 加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。常用作计算机算术逻辑部件,执行逻辑操作、移位与指令调用。在电子学中,加法器是一种数位电路,其可进行数字的加法计算。在现代的电脑中,加法器存在于算术逻辑单元(ALU)之中。 加法器可以用来表示各种数值,如:BCD、加三码,主要的加法器是以二进制作运算。由于负数可用二的补数来表示,所以加减器也就不那么必要。-The adder is generated th
  3. 所属分类:assembly language

    • 发布日期:2017-11-21
    • 文件大小:134957
    • 提供者:孙雅琴
  1. divider

    0下载:
  2. 基于移位相减运算的除法器设计,完整的设计工程文件在divider文件夹下-Based on the shift subtraction divider design, complete design project file divider file folder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1326599
    • 提供者:xiebaiyuan
  1. BCD_ALU

    1下载:
  2. bcd码的ALU单元,包含全加、全减、乘法、除法器-bcd code ALU unit, including All-Canadian, all subtraction, multiplication, division, unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:52081
    • 提供者:georgeniu
  1. kekongchengfaqi

    0下载:
  2. 用2片4位加法器实现可控累加(加/减,-9到9,步长为3)电路,最大和两位10进制数99。-Controlled multiplier- with two 4-bit adder controllable accumulate (plus/minus,-9 to 9, step 3) circuit, the maximum and two decimal 99.
  3. 所属分类:LabView

    • 发布日期:2017-04-24
    • 文件大小:319138
    • 提供者:张国栋
  1. multiplier-ROM--FIFO-memory

    0下载:
  2. 布斯,阵列乘法器,加减交替除法器,以及ROM存储器,FIFO存储器-Booth, array multiplier, divider alternately add and subtract, and ROM memory, FIFO memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:19768
    • 提供者:ZY
  1. FloatALU

    2下载:
  2. 用Verilog HDL实现的IEEE754浮点数加减乘除法器-float number alu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6387616
    • 提供者:糊糊
« 1 2 3 4 5»
搜珍网 www.dssz.com