CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 出租车 VHDL

搜索资源列表

  1. ChuZuCheJiJiaQi

    0下载:
  2. 出租车计价器VHDL程序与仿真 --功能:出租车计价器。 --最后修改日期:2009.4.9。-taximeter of taxi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:85872
    • 提供者:梁辰
  1. 354545

    1下载:
  2. 用VHDL实现出租车计价器,此程序已通过验证-VHDL implementation with a taxi meter, this procedure has been verified. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3192298
    • 提供者:宋茜
  1. Taximeter_VHDL_program

    0下载:
  2. 出租车计价器VHDL程序,功能:出租车计价器 ,最后修改日期:2004.4.9-Taximeter VHDL procedure, function: the taxi meter, the last modified date: 2004.4.9
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:28579
    • 提供者:卫亮
  1. FPGA-Taxi-billing-system

    0下载:
  2. 这是一个用VHDL语言编写的较全面的出租车计费系统,可以实现计费,显示时间,所走路程以及停车时间的显示,算法相对复杂,但可移植性很强,占用逻辑资源相对较少-This is a VHDL language with a more comprehensive taxi billing system, can achieve billing, display time, the walking distance and the stopping time display, the algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:178531
    • 提供者:yonbin
  1. chuzuchejifei

    0下载:
  2. 出租车计费器,VHDL编写。供参考-Taxi meter, VHDL writing. Reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:9494
    • 提供者:yueping
  1. cpld_vhdl

    0下载:
  2. 其内收藏了一些用vhdl写成的硬件逻辑语言,主要有出租车计价器,电子时钟,自动售货机,以及步进电机定位控制系统等。-The collections of a number of hardware logic with VHDL written language, there are taxi meter, electronic clocks, vending machines, and stepper motor positioning control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:321291
    • 提供者:赵鑫
  1. 2

    0下载:
  2. EDA的课程设计,利用VHDL语言、PLD设计基于FPGA的出租车计费系统,选用ALTERA公司低功耗、低成本、高性能的FPGA芯片EPF10K10,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了编译,功能仿真和下载。使其实现计费以及预置和模拟汽车启动、加速、停止、暂停等功能,并动态扫描显示车费数目。-EDA curriculum design, the use of VHDL language, PLD design FPGA-based taxi billing s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8175
    • 提供者:wang
  1. FPGA27Instance

    0下载:
  2. LCD控制、FSK PSK等调制解调、出租车计价器、电梯控制、步进电机控制、自动售货机的VHDL程序-The control of LCD and ASK FSK make the demodulation, baseband code occurrence machine, frequency meter, electronic organ and electric lift control, automat and rent a car to account VHDL procedure c
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1276731
    • 提供者:于洪民
  1. taxi

    0下载:
  2. 基于VHDL出租车计价器的实现,能实现简单的计价功能-VHDL-based implementation of the taxi meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4045
    • 提供者:燕燕liu
  1. chuzuche

    0下载:
  2. 出租车计价系统详细说明了计价系统的原理和工作过程,用VHDL语言说明了其各方面的功能-Taxi pricing pricing system described in detail the principle and process of the system, using VHDL language to explain the function of all aspects of its
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:232214
    • 提供者:卧虎
  1. EDA

    0下载:
  2. VHDL完成计价器,模拟出租车正常加速,暂停,停止等状态,在加速,暂停,开始,停止均有提示灯表示,起步7.5元,超过3公里2.2/km,超过20元,每公里3.3-VHDL complete meter, analog taxi normal speed, pause, stop and other states, in acceleration, pause, start, stop lights that are prompt, start $ 7.50 more than 3 km 2.2/
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2801190
    • 提供者:zcfirst
  1. taximeter

    0下载:
  2. 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元; 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:88018
    • 提供者:占斌
  1. e0ea34e36c4c

    0下载:
  2. 一份用VHDL语言写的出租车计价器,于Quartus II上仿真。以供需要者参考使用!-A write VHDL Taximeter on in the Quartus II simulation. Reference for those who need to use!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:28029
    • 提供者:雪圣
  1. taxi

    0下载:
  2. 出租车计价器VHDL程序 The Taximeter VHDL program-The Taximeter VHDL program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:27809
    • 提供者:ll
  1. Taximeter

    0下载:
  2. 采用VHDL编写出租车计费器系统程序,采用MAX+PLUSⅡ软件作为开发平台,进行了程序仿真,验证设计 实现了出租车计价器的相关功能。-Several current problemsarediscussedandonekindof designscheme for themultifunctional taximeter basedonPIC16F877isprovided. It realizesthenormal pricing. Still it hasthefunctionof
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:388260
    • 提供者:Ruirui
  1. chuzuchejijiaxitongdeFPGAsheji

    0下载:
  2. 介绍了出租车计价器系统在实际生产生活中的重要性。根据预定的设计要求和设计思路,使用VHDL硬件描述 语言设计了一个实际的基于AlteraFPGA芯片的出租车计价系统,介绍了该系统的电路结构和程序设计。通过实验模拟, 得到了关键的设计结果和在QuartusII5.0软件下的仿真波形。经分析软件仿真的波形和硬件调试结果,证明该出租车计 价系统具有实用出租车计价器的基本功能,如能进一步完善,将可以实用化和市场化。-In this paper, theimportanceof taximet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:552990
    • 提供者:Ruirui
  1. taxi

    0下载:
  2. VHDL实现的出租车计价器,简单易行,能够完成基本的几家功能-VHDL source code for taxi meter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:936
    • 提供者:王亚伟
  1. chuzuche

    0下载:
  2. 使用vhdl语言设计的出租车计费器。实现计费功能,计费标准为:按行驶里程收费。起步价是8.00元,并在行驶3公里后,在按1.90元/公里计费。当计费器达到或超过20元时,每公里加收50 的车费,车停止是不计费。 显示功能:将车费及里程显示出来,车费和里程显示各使用4位数码管。 模拟功能:能模拟汽车启动,停止,暂停以及加速等状态。 -Using vhdl language design taxi meter. Billing functions, accounting standard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:579276
    • 提供者:陈小龙
  1. taxi-price-evaluator_VHDL

    0下载:
  2. 基于VHDL的出租车计价器,具有计时和路程计算功能,VHDL重在实践-VHDL-based taxi meter, timing and distance calculation function, VHDL is Practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:28047
    • 提供者:霍赞
  1. TAXI_TOLL_1_1

    1下载:
  2. 实现出租车自动计费器 能进行LCD1602液晶显示。硬件平台:Xilinx Spartan3E -Use VHDL languange to achieve the automatic taxi meter and display cost,waiting time and distance on the LCD1602 . Hardware platforms: Xilinx Spartan3E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:3153129
    • 提供者:linjunlan
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com