CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 分频

搜索资源列表

  1. fenpin

    0下载:
  2. 利用vhdl写的分频程序,芯片是LATTICE的(Using VHDL to write frequency division procedures, the chip is LATTICE)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:
    • 文件大小:119808
    • 提供者:XIANNV
  1. pinlvxianshi

    0下载:
  2. 通过FPGA中的时钟信号分频作为基准频率,将另一频率作为输入与之比较,并在数码管显示输入频率。(The frequency division of the clock signal in the FPGA is used as the reference frequency, the other frequency is used as input, and the input frequency is displayed in the digital tube.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:8434688
    • 提供者:狄克推多
  1. FPGA_test_20170620_1

    0下载:
  2. 对50M的系统时钟进行分频处理,然后控制led的闪灭(Frequency divider controls led.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. fenpin

    0下载:
  2. 可以实现n+0.5倍的分频,本程序是利用50MHz的FPGA开发板实现分别实现10MHz,2.5MHz的分频时钟。(N+0.5 times can be achieved frequency division, this procedure is to use 50MHz FPGA development board to achieve, respectively, 10MHz, 2.5MHz frequency division clock.)
  3. 所属分类:嵌入式/单片机编程

  1. encoder_clk

    0下载:
  2. 精确实现奇数分频,将FPGA开发板提供的25MHZ时钟分频为1MHZ,内含测试文件(Accurate realization of odd frequency division, the FPGA development board provides 25MHZ clock frequency divided into 1MHZ, containing test files)
  3. 所属分类:VHDL/FPGA/Verilog

  1. plusewidth(time_prescaler)

    0下载:
  2. STM32F103VE芯片,可以实现两个端口测量脉冲的频率以及占空比,为了防止溢出,将其进行了分频处理,可以测量出50Hz左右的脉冲,如果频率过大,则精确度会下降,需要重新更改分频数,程序中不包含输出部分,需要自己添加输出程序,建议直接读取TIM3_CCR2,TIM3_CCR1寄存器的值,然后自己进行转化。程序里面有大概的转换方法,但数值上并不合适,需要进行修改。(STM32F103VE chip, can achieve two port measurement of pulse freque
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-15
    • 文件大小:4577280
    • 提供者:EGlym
  1. diver

    0下载:
  2. 根据芯片的始终频率进行分频,可调节占空比。容易实现。(The frequency division is carried out according to the chip frequency at all times, and the duty cycle is adjusted. Easy to implement.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-16
    • 文件大小:3076096
    • 提供者:紫芩
  1. fenpin

    0下载:
  2. 实现奇数、偶数分频,fpga,Verilog,时钟分频(clock divider,frequency division)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:2048
    • 提供者:饭饭哒
  1. plj

    0下载:
  2. 2秒闸门时间频率计,以及一个分频器,使用FPGA及verilog语言实现(2 second gate time frequency meter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:5640192
    • 提供者:qjy617891942
  1. fenpin51

    0下载:
  2. 任意整数分频器,输出方波可调占空比(已仿真下板子验证)第一个系数为分频系数,第二个为高电平所占整个方波的比例(Arbitrary integer frequency divider, output square wave adjustable duty cycle (has been simulated under board verification), the first factor for the frequency division coefficient, the second fo
  3. 所属分类:VHDL/FPGA/Verilog

  1. EEGfenpin

    0下载:
  2. 对脑电信号进行波段分频,将脑电信号分为beta、Theta、Alpha、delta四个频段。(Frequency division of EEG signals.)
  3. 所属分类:Windows编程

    • 发布日期:2018-01-03
    • 文件大小:1024
    • 提供者:春萌
  1. fenpin

    0下载:
  2. 用verilog语言设计了一个分频器,晶振频率为50MHz(A frequency divider is designed in Verilog language. The frequency of crystal oscillator is 50MHz)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:4245504
    • 提供者:vsslms
  1. oneMHZ

    0下载:
  2. VHDL语言编写的20Mhz分频器,时间为1秒(20Mhz frequency divider)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:191488
    • 提供者:zuys
  1. Divider

    0下载:
  2. 用Verilog HDL语言实现分频器,初学,简单(The realization of frequency divider in Verilog HDL, Elementary learning is simple)
  3. 所属分类:系统编程

    • 发布日期:2018-01-10
    • 文件大小:103424
    • 提供者:wmy36
  1. DIV

    0下载:
  2. 占空比为50%的七分频电路,实用基于VHDL语言,仿真工具是ISE(Duty cycle of 50% of the seven frequency circuit)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-10
    • 文件大小:401408
    • 提供者:嗯嗯~
  1. project code5

    0下载:
  2. 数控分频器的verilog代码在eda上实现(verilog for numerical control divider)
  3. 所属分类:Windows编程

    • 发布日期:2018-04-18
    • 文件大小:2863104
    • 提供者:kaikai894
  1. div_3

    0下载:
  2. 采用Verilog语言对时钟进行3分频,满足系统多时钟频率的要求(3 frequency division of clock in Verilog language to meet the requirement of multi clock frequency of the system)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:1126400
    • 提供者:天威浩荡
  1. 四分频器

    1下载:
  2. 使用FPGA实现单一频率信号分频为原来的1/4
  3. 所属分类:其他嵌入式/单片机内容

  1. Lesson07:BJ-EPM240学习板实验1——分频计数实验

    0下载:
  2. Quartus的分频计数试验视频讲解,讲解的很详细,对于新手来说还是蛮不错的(Quartus_frequency division technology test video explanation)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-04-21
    • 文件大小:79254528
    • 提供者:YouKnowWho
  1. FRECHANGE

    0下载:
  2. 基于vhdl的分频器程序。可以将50mhz的频率分为1hz(clk divice program base on fpga)
  3. 所属分类:通讯编程

    • 发布日期:2018-04-22
    • 文件大小:125952
    • 提供者:Mr.zeal
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com