CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 可编程控制器

搜索资源列表

  1. levelingdevicePIDcontrolleresign

    0下载:
  2. 为了便于进行形象化的理解,本章进行了控制结果的可视化编程。利用Matlab与Borland C++ Builder的程序开发接口,通过建立独立的可执行程序,演示了控制过程中对熨平板进行调节的过程。最终认为,PID控制和人工神经网络控制对控制速度和精度都有了很多的改善;对摊铺机自动调平装置而言,其控制器的设计占有重要的地位。 -To facilitate figurative understanding of this chapter for the control of the results
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:192085
    • 提供者:史海红
  1. IEC61131

    0下载:
  2. IEC 61131-3是可编程序控制器的编程语言的标准,它将现代软件的概念和现代软件工程的机制与传统的PLC编程语言成功地结合,使它在工业控制领域的影响越出PLC的界限,成为DCS、PC控制、运动控制,以及SCADA的编程系统事实上的标准。本文综述了该标准成功的原因,它的优势和不足,目前和今后的发展,以及推广应用的活动。-PLC programming language standards, it modern software and the concept of the modern sof
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:26749
    • 提供者:胡茂海
  1. wang452128fg86

    0下载:
  2. 上次我传的倒立摆的控制程序,不是我的最终的程序,本程序采用VC++编程,定时器为多媒体控制器,(控制时间可到达5ms),控制算法用的是最优控制,-last time I Chuan an inverted pendulum control procedures, I was not the ultimate procedures, the procedures for using the VC program, Timer for multimedia controller (Control c
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:103504
    • 提供者:王志强
  1. led_driver

    1下载:
  2. 一个各种液晶的C程序库,并且比较标准可读的,供大家参考 12232液晶显示程序在sed1520.rar 122x32液晶显示程序,显示图形及汉字.rar 122x32液晶显示程序显示图形及汉字.rar 12864液晶驱动.rar 128x64液晶显示例程.rar 1601液晶程序.html 1601液晶程序.mht 1602LCM液晶显示屏的驱动函数和实例.rar 1602液晶的程序移植到了ATMEGA8上.txt 1602液晶显示的实
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1372779
    • 提供者:yanbin
  1. SinglePhaseDiitalControlledInverterTypeSPWMRealize

    1下载:
  2. 介绍了基于87C52 微控制器和SA 8381 型PWM 产生芯片实现的SPWM 型单相逆变电源的全数字化控制系 统。详细说明了该逆变电源系统的原理构成和主电路原理。简要说明了M ITEL 公司的新型SA 8381 带微处理器接口的可编 程SPWM 波形发生器的引脚功能、内部框图及工作原理, 说明了他的技术特点、应用范围等, 并给出了逆变电源系统程序 框图及控制回路程序流程, 软件编程情况。
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:274048
    • 提供者:nahong
  1. 3

    0下载:
  2. 液晶显示屏LCD作为一种功耗低、体积小、无辐射的显示器件,近几年被广泛应用于各式各样的嵌入式电子产品中,LCD可分为段位式、字符式和点阵式三种,其中,段位式LCD和字符式LCD只能用于字符和数字的简单显示,不能满足图形曲线和汉字显示的要求,而点阵式LCD不仅可以显示字符、数字,还可以显示各种图形、曲线及汉字,并且可以实现屏幕上下左右滚动动画功能,分区开窗口、反转、闪烁等功能,用途十分广泛,为了简化液晶显示电路的设计和应用,生产厂家通常将液晶显示单元、显示控制器,显示内存和显示驱动电路等装配在一起
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5630
    • 提供者:yudakui
  1. uart

    0下载:
  2. 基于FPGA的uart控制器,波特率可选,VHDL编程,Quartusii 6.0 平台,vhdl语言编程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5093955
    • 提供者:吕常智
  1. Triggersignalaccuratedataacquisitionsystemdesignde

    0下载:
  2. 在一些系统中,经常用到对触发信号延时一段时 间后,再对某些目标信号进行采集,通常这段延时要求 非常精确,还要做到范围可调,一般这种延时的最小时 间单位小于100ns。如果选用普通微控制器,延时系统的操作界面比较容易实现,但是靠软件延时得到结果的准确性较低。考虑到芯片功能、开发环境以及接口方便等问题,最终选用一片常用的AlteraSVCPLD EPM7128SLC3411]作为系统的核心控制部分,来实现 信号延时、输人设定、运行显示的功能。应用Veril- o苦2〕语言,在
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:106842
    • 提供者:hjh
  1. 一个各种液晶的C程序库

    3下载:
  2. 一个各种液晶的C程序库,并且比较标准可读的,供大家参考 12232液晶显示程序在sed1520.rar 122x32液晶显示程序,显示图形及汉字.rar 122x32液晶显示程序显示图形及汉字.rar 12864液晶驱动.rar 128x64液晶显示例程.rar 1601液晶程序.html 1601液晶程序.mht 1602LCM液晶显示屏的驱动函数和实例.rar 1602液晶的程序移植到了ATMEGA8上.txt 1602液晶显示的实验例子.txt 16x2lcm液晶_c51.rar 16x2
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2010-12-14
    • 文件大小:1372783
    • 提供者:iceblock316
  1. box2D v2.1.0 Delphi Package 预览版.rar

    0下载:
  2. box2D 2.1.0版本正式发布,相对于前几日在盒子论坛上挂出的预览版又做了较大幅度的改进。具体改进如下: 1.加入控制器(在文件UPhysics2DControllers中),可实现浮力、风力、万有引力场、爆炸、阻尼等效果。其中的风力与爆炸效果是原C++版本中所没有的。大家还有什么新奇的想法可以联系我,为box2D Delphi加入更多的控制器,实现更多的物理效果。 2.添加了单元UPhysics2DPolygonTool,实现任意多边形的细分化(三角化)。可使box2D支持任意边数的多边形
  3. 所属分类:GDI/图象编程

    • 发布日期:2011-01-27
    • 文件大小:616489
    • 提供者:arv@163.com
  1. USB_system

    0下载:
  2. 中文摘要 通用串行总线USB 是PC 体系中的一套全新的工业标准它支持单 个主机与多个外设同时进行数据交换 论文首先会介绍USB 的体系结构和特点包括总线特征协议定义 传输方式和电源管理等等这部分内容会使USB 开发者和用户对USB 有一 个整体的认识 接下来论文会讨论USB 系统的一般开发方法和技术特点分设备端硬 件设备端软件和主机端软件三个部分 然后论文会介绍几个USB 项目的研发过程和技术细节包括USB 手写 识别输入系统USB 通用设备开发平台USB
  3. 所属分类:USB develop

    • 发布日期:2017-04-04
    • 文件大小:991647
    • 提供者:zhangheng
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:35244
    • 提供者:jimmy
  1. temperaturecontroller

    0下载:
  2. 自制可调温度控制器2051方案简单易用,用C语言编程-Adjustable temperature controller 2051 made the program easy to use, use of C language programming
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:139400
    • 提供者:jun
  1. Listprocess

    0下载:
  2. VC++编程实现进程控制器程序,可对系统进程进行显示,及杀死所选进程的功能-VC++ programming to achieve the process control procedures
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-01
    • 文件大小:62792
    • 提供者:张亮亮
  1. elecfans.com-2007626144436735

    0下载:
  2. 《可编程序控制器原理及应用》课程,是一门实践性很强的技术课程,它要求有较强的编程及操作能力,根据教学要求,我们特编写实验指导书,与理论课程配套使用。-traffic
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-08
    • 文件大小:757656
    • 提供者:huchangjie
  1. temperaturesheji

    0下载:
  2. 以Intel 8086CPU为主控制器,设计出具有测量和报警功能的温度控制系统,且温度输出采用数字LCD显示,与传统的温度计相比,具有读数方便,测温范围广,测温准确等优点,可用于科研实验室使用;运用所学基础知识,由实验箱电位器旋钮模拟外部温度传感器(输入为0-100℃),AD0809转换芯片实现模拟量与数字量的转换,选通8255A、74LS273接口芯片扩展CPU并行接口,结合中文液晶显示模块ocmj2*8LCD实现温度可观性,且采用8086汇编作为开发语言进行软件编程,将各环节连贯实现。-Wi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:94810
    • 提供者:刘莎
  1. trafficcontroller

    0下载:
  2. 该程序为一个路口交通灯的控制器程序,采用VHDL编程,可在FPGA上实现-The program is an intersection traffic light controller program, using VHDL programming can be implemented on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2389828
    • 提供者:姜丹
  1. aybook.cn_pirndguszhind1011

    0下载:
  2. 本规范定义串行现场总线的技术和功能特性。 串行现场总线主要用来连接数字现场设备 或中、低功能的系统,如传感器、执行机构、变送器、可编程序控制器(PLC) 、数控装置 (NC) 、编程设备、本地人机接口等。-This specification defines a serial field bus technology and features. Serial field bus is mainly used to connect digital field devices or medi
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-12
    • 文件大小:2902816
    • 提供者:丁时伟
  1. delphi

    0下载:
  2. 在工业控制领域中,PLC作为一种稳定可靠的控制器得到广泛的应用。但它也有自身的一些缺点,即数据的计算机处理能力较弱,不能给用户提供良好的界面等。而计算机恰好能弥补PLC的不足,他不但有很强的数据处理和管理能力,而且能给用户提供非常美观而又易于操作的界面。将PLC与计算机结合,可是系统达到既能及时采集、存储数据,又可处理和使用好数据,两者结合的关键是PLC与计算机之间的通信。由于微软的MScomm通信控件具有丰富的与串行通信密切相关的属性及事件,提供了对串口的各种操作,并且在串口编程时非常方便,而
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:385159
    • 提供者:pp
  1. hainan

    0下载:
  2. MAX+PLUS2环境下VHDL彩灯控制器编程 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调-MAX+ PLUS2 programming environment, VHDL lantern controller 1. With 10 LED, L0 ... ... L9 2. Display odd lights turn off before ① ② ③ again
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1100
    • 提供者:吴海霞
« 1 2 ... 9 10 11 12 13 1415 16 »
搜珍网 www.dssz.com