CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 售货机

搜索资源列表

  1. codns.net

    0下载:
  2. UML饮料售货机状态图,函数调用的来实现功能-this is a good one ,which is good for all of you
  3. 所属分类:software engineering

    • 发布日期:2017-04-11
    • 文件大小:1479
    • 提供者:神仙逍遥
  1. sfsdf

    0下载:
  2. 所属分类:Internet-Socket-Network

    • 发布日期:2017-04-06
    • 文件大小:258343
    • 提供者:sadsd
  1. sodamachine

    0下载:
  2. 刚做完的一个实验,传上来分享一下 写的一般,请见谅 原题是麻省理工的一道EDA设计题:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱 数。 (1)用到有限状态机;(2)用VHDL编程 -Just finished an experiment, transfer up to share writing in general, please forgive the original question is a Massachusetts Institute of T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:232443
    • 提供者:Han
  1. vending

    0下载:
  2. 售货机的小程序,实现售货机的添加,统计,销售,找零等等功能!-vending
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:301692
    • 提供者:chenhuai
  1. pl_autoVHDL

    0下载:
  2. 自动售货机VHDL。具有货物信息存储,进程控制,硬币处理,余额计算,显示等功能。-Vending machine VHDL. With the cargo information storage, process control, coin handling, balance calculation, display and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:143397
    • 提供者:liulu
  1. vending_machine

    0下载:
  2. 一个简单的自动售货机控制器,投足25美分便发糖果,并且自动找零-A simple vending machine controller, 25 cents will be made every move she makes candy and give change automatically
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:617
    • 提供者:treeyellow
  1. SHI3

    0下载:
  2. 编写的一个自动售货机系统,基于控制台的应用程序。-a program that can sell things with it
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-08
    • 文件大小:2485
    • 提供者:shidongyuan
  1. qwe

    0下载:
  2. 编写的一个自动售货机系统,基于控制台的应用程序。-a program that can sell things with it
  3. 所属分类:Console

    • 发布日期:2017-04-17
    • 文件大小:38481
    • 提供者:shidongyuan
  1. ZiDongShouHuoJi(VHDL)

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 --说明:显示的钱数coin的 以5角为单位。 --最后修改日期:2010.3.23。 -vending machine(VHDL)program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:143392
    • 提供者:梁辰
  1. MFC

    0下载:
  2. 关于一个自动售货机的完整设计,利用vc平台。-A vending machine on the integrity of the design, use vc platform.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:489848
    • 提供者:戴玛
  1. ADS-93OO

    0下载:
  2. 介绍一款超小型透射光电断路器,它在自动柜员机,自动售货机,,办公自动化设备等都得到很好的应用-Introduced an ultra-compact optical transmission circuit breakers, it is ATM, vending machines, and office automation equipment and so have a good application
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:156397
    • 提供者:weiwei
  1. autosellmachine

    0下载:
  2. 自动售货机程序,实现接受付款、返回当前现金等功能。-Vending machine program to realize, receiving payment, return to the current cash and other functions.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-03-29
    • 文件大小:7378
    • 提供者:王子
  1. 27fpgashili

    0下载:
  2. 基于FPGA的27哥实例代码——包括自动售货机,出租车计价器等的源程序代码-27 Columbia-based FPGA example code- including vending machines, taxi meter, etc. of the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1399507
    • 提供者:hongfmao
  1. shouhuoji

    0下载:
  2. 自动售货机 基于java的自动售货机小程序-Vending machine vending machines based on java applets
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:1249
    • 提供者:Mmei
  1. shouhuoji

    0下载:
  2. 自动售货机-Vending machine
  3. 所属分类:Java Develop

    • 发布日期:2017-04-02
    • 文件大小:1329
    • 提供者:luyang
  1. autosell

    0下载:
  2. 自动售货机的FPGA设计代码 -FPGA design code of vending machines vending machines FPGA design code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1948
    • 提供者:
  1. Buyer

    0下载:
  2. 实现自动售货机功能,能够实现自动找零,判断错误-Automatic vending machines feature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:300667
    • 提供者:nipengyu
  1. a-soft-drink-vending-machine-system

    0下载:
  2. c++的关于饮料自动售货机的实现,有利于C++初学-c++ on the realization of beverage vending machines will help C++ beginners
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:732502
    • 提供者:杨琴
  1. zidong

    0下载:
  2. 一个很简单的源程序!在操作台下实现基本的自动售货机结算功能!-一个很简单的源程序!在操作台下实现基本的自动售货机结算功能!………………
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:7974
    • 提供者:jiang
  1. AtuoSale

    0下载:
  2. 简单的实现自动售货机的小程序,实现简单的购买商品的源代码。-AutoSale
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:199995
    • 提供者:淑明
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 21 »
搜珍网 www.dssz.com