CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 售货机

搜索资源列表

  1. Vendingmachin

    0下载:
  2. 这是一个自动售货机的程序。属于原版资料。这里分享一下。供大家使用交流学习。-This is a vending machine program. Belongs to original data. Here to share. For everyone to use the exchange of learning.
  3. 所属分类:Compiler program

    • 发布日期:2017-05-09
    • 文件大小:1985166
    • 提供者:杨乐乐
  1. shouhuoji

    0下载:
  2. 使用文本方法编写的自动售货机的vhdl代码-Use a text methodology for the preparation of the vhdl code for a vending machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:35979
    • 提供者:小陈
  1. vend

    0下载:
  2. 自动售货机,根据所要的东西,自动收费,并进行找零-Vending machine, according to what you want to automatically charge and conduct Keep the change
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1255
    • 提供者:xiaoyu
  1. c_and_caddadd_program

    0下载:
  2. 对数据进行分析,在此过程中学会函数的调用,及多个函数的使用,并用for循环实现排序。c++程序是一个学习类的实例(糖果售货机)。(其中c程序IDE为wintc,c++程序为vc++6.0)-I hope this will help the junior learner.
  3. 所属分类:source in ebook

    • 发布日期:2017-04-14
    • 文件大小:3785
    • 提供者:
  1. saler

    0下载:
  2. 饮料自动售货机系统设计,实现了界面可视化-Beverage vending machine system design and implementation of the interface, visualization
  3. 所属分类:GUI Develop

    • 发布日期:2017-04-06
    • 文件大小:390120
    • 提供者:李晶
  1. drink

    0下载:
  2. 一个饮料自动售货机可以放置三种不同的饮料:顾客根据自己的喜好选择饮料投币购买,系统售出饮料并自动找零,库存不足则无法购买。存货员可根据销售状况添加不同数量的饮料。每售出一瓶饮料系统会自动计数并计算销售额,收银员取款后会显示销售记录并将销售记录清零。可创建一个文件储存商品信息,通过对象数组drk[]来记录饮料的相关信息,并通过查找,添加,删除等操作实现所需功能。-A drink vending machine can be placed in three different drinks: Cus
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-29
    • 文件大小:979581
    • 提供者:谢非
  1. VendingmachinesimulationwithVHDL

    0下载:
  2. 自动售货机VHDL程序与仿真 功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 说明:显示的钱数coin的 以5角为单位。 -Vending machine simulation of VHDL procedures and functions: cargo information storage, process control, coin handling, balance calculation, display and other functions. Desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:146861
    • 提供者:Zhu
  1. VndingMachine

    0下载:
  2. 1.机器有一个投币孔,每次只能投入一枚硬币,但可以连续投入多枚硬币。机器能识别的硬币金额为1元,5角和1角。顾客可选择的饮料价格有1元,1元5角,2元三种。每次只能售出1瓶饮料。 2.购买饮料时先选择饮料价格再投币,当投入的硬币总金额达到或超过饮料价格后,机器发出指示信号并拒收继续投入的硬币。顾客投币后,按动确定键,机器将发出饮料和找零硬币,若所投金额不足,则发出欠资信号指示。在欠资情况下,顾客可以继续投币购买,也可按取消键,机器将退出所投入的全部金额。 3.顾客投入硬币之后,如果未按确定键而
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:588
    • 提供者:林晓
  1. soda_machine

    0下载:
  2. 自动售货机的功能,内容源代码,在MAXPLUS的执行文件都有-Vending machine' s functionality, content source code, the implementation of the file are in the MAXPLUS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:231398
    • 提供者:林晓
  1. shj-sd

    0下载:
  2. eda实验,用verilog语言完成自动售货机的功能-used as EDA experiment,verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:223972
    • 提供者:秫秸
  1. vm

    0下载:
  2. vc++6.0下的控制台程序,模拟饮料售货机,有文件读取与创建操作-the console program under vc++6.0 , analog beverage vending machine, there are files read and create action
  3. 所属分类:Console

    • 发布日期:2017-05-08
    • 文件大小:1642429
    • 提供者:曹林伟
  1. softdrink

    0下载:
  2. 自动售货机verilog源码,含找零功能,通过Modlesim,leonardo仿真,综合-Vending machine verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:634
    • 提供者:楚寒
  1. vendingmachinvhdl

    0下载:
  2. 自动售货机的程序代码和仿真文件,挺有用的-Vending machine program code and simulation files, winter ridge used
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:184164
    • 提供者:李明
  1. sold

    0下载:
  2. dos下模拟自动售货机,实现找余 出货 显示货存-DOS simulate the vending machine, more show goods for shipment
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:236685
    • 提供者:zc
  1. 8.21VHDL

    0下载:
  2. 8.21 自动售货机VHDL程序与仿真2010/05/04-8.21 vending machine and simulation of VHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:143440
    • 提供者:阿锦
  1. 123456shouhuoji

    0下载:
  2. 售货机-VHDL语言-已调试通过 真的很好用哦~适合一切学习EDA的初学者,能够让你轻松度过EDA课!~-Vending machine-VHDL language- has been really good with debugging by Oh ~ EDA for all beginners to learn, to let you easily through the EDA class! ~
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:774
    • 提供者:小哇
  1. alto

    0下载:
  2. 用VHDL语言设计一个自动售货机,此机能出售2元的一种商品。顾客投入硬币的钱数每次只允许投入一枚5角或1元的硬币,累计投入2元硬币给出一个商品,此操作通过按动相应的一个按键来模拟,并同时用数码管将投币额显示出来。如果投入1元5角以后,再投入1枚1元,则应给出一个商品,同时找回5角。-VHDL language used to design a vending machine, the function of a commodity sold two yuan. The amount of mon
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-16
    • 文件大小:122122
    • 提供者:林述颖
  1. Vending_machine

    0下载:
  2. 设计一台自动售货机,要求具备货物信息存储、进程控制、硬币处理、余额计算、自动找零、状态显示等功能。-Design a vending machine, requires cargo information storage, process control, coin handling, balance calculation, auto-change, the status display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:314820
    • 提供者:刘智虎
  1. Vendingmachine

    0下载:
  2. 自动售货机控制系统设计思路及VHDL控制程序 -Vending machine control system design concept and control procedures VHDL
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:90806
    • 提供者:Section
  1. DE2_Default

    0下载:
  2. 自己编程的采用verilog语言实现的关于altera的DE2-70开发板的一个实用程序,实现的是自动售货机的找零功能-Own programming language used on the altera verilog the DE2-70 development board of a utility, to achieve the change for vending machines function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8799973
    • 提供者:舒念
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 21 »
搜珍网 www.dssz.com