CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四位乘法器

搜索资源列表

  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. Booth_mul4_v

    0下载:
  2. 四位BOOTH乘法器 Booth算法(布斯算法),一个比较推荐的带符号乘法算法-Booth_mul4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:155631
    • 提供者:eric
  1. 555

    0下载:
  2. 四位元乘法器(含TPD) 被乘數:SW(3..0) 乘數: SW(7..4) 積: LEDR(7..0)-Multiplier 4 yuan (including TPD) multiplicand: SW (3 .. 0) multiplier: SW (7 .. 4) plot: LEDR (7 .. 0)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:613742
    • 提供者:吳員外
  1. Mars-EP1C6-F_code1

    0下载:
  2. 此包中为FPGA学习板中的基础实验代码.共包括8个实验源代码:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机和四位比较器.-In this package for the FPGA board to study the basis of the experiment code. A total of eight experiments, including source code: 8-bit priority encoder, multipliers, mul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099584
    • 提供者:sunxh092
  1. shuzi4

    0下载:
  2. 四位数字乘法器,在quartus8.0下仿真时序图 -mult4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:175060
    • 提供者:standabc
  1. VerilogSourceCode

    0下载:
  2. 乘法器、除法器、多路选择器、编码器、BCD码转换、加法器、减法器、状态机、四位比较器、数码管、串口、跑马灯、电子钟-Multiplier, divider, multiplexer, encoder, BCD code converter, adder, subtractor, state machines, four more players, digital control, serial port, marquees, electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2049925
    • 提供者:zhaozhifang
  1. siweichengfa

    0下载:
  2. 这个程序是乘法器,可以进行四位的乘法运算含有纠错功能。汇编语言-This program is a multiplier, multiplication can contain four error correction. Assembly language
  3. 所属分类:assembly language

    • 发布日期:2017-04-13
    • 文件大小:1893
    • 提供者:肖林
  1. shift_mult_4

    0下载:
  2. 四位移位乘法器 VHDL 代码 已验证,可以直接拿来用-Four shift multiplier VHDL code has been verified, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:578
    • 提供者:longjiezhong
  1. 4MUL

    1下载:
  2. 四位并行乘法器的VHDL源代码,已通过验证,可以使用-Four parallel multiplier VHDL source code has been validated, you can use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4651
    • 提供者:周三强
  1. taximeter

    0下载:
  2. 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元; 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:88018
    • 提供者:占斌
  1. CAM

    0下载:
  2. 本文档是基于ISE的verilog编程,描述的是一个用CAM方法编的一个乘法器,是四位乘以四位的乘法器。-This document is based on the ISE verilog programming described a CAM method to a series of multipliers, the multipliers of four multiplied by four.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:158941
    • 提供者:飞扬
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. A

    0下载:
  2. 四位二进制乘法器的设计,通过设计我们可以掌握计算机的乘法运算方法,了解Maxpuls软件-Four binary multiplier design, we can master the computer through the design multiplication methods to understand Maxpuls Software
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:231935
    • 提供者:马亚林
  1. 4BITMUIT

    0下载:
  2. 利用LPM_MUIT宏模块设计一个四位数据乘法器-Use LPM_MUIT macro module design a four data Multiplier
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:519
    • 提供者:梁川
  1. adder_sub_mul

    0下载:
  2. 加法器,减法器,乘法器,超前进位,一位拓展成四位-adder and subber are written by the language of VerilogHDL one bit to four bits.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-27
    • 文件大小:394181
    • 提供者:Curie
  1. fwdfwfft

    0下载:
  2. 4位的16点fft,ccmul为复数乘法器,bfproc为蝶形运算器,输出的结果为四位,每一级都要进行round操作。-4 16-point fft, ccmul for complex multiplier, bfproc for the butterfly operation, a result output is four, each stage should be carried out round operation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7263
    • 提供者:kove
  1. AnJian_1602

    0下载:
  2. 计算器设计。采用了现场可编程逻辑器件FPGA设计,并基于VHDL语言实现加减乘除功能,并用十进制显示在数码管上。计算部分为加法器、减法器、乘法器和除法器组成。使用Altera公司的QuartusII开发软件进行功能仿真并给出仿真波形,并下载到试验箱,用实验箱上的按键开关模拟输入,用数码管显示十进制计算结果。通过外部按键可以完成四位二进制数的加、减、乘、除四种运算功能,其结果简单,易于实现。-Calculator design. Using a field programmable logic d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-31
    • 文件大小:13138994
    • 提供者:陈勒
  1. mux8

    0下载:
  2. 利用拨码开关,实现四位二进制与四位二进制的乘法器,结果转换为十进制,并通过数码管显示。-Using the DIP switch to achieve four binary and four binary multiplier, the results are converted to decimal, and through the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-16
    • 文件大小:1191936
    • 提供者:王宁
« 1 2»
搜珍网 www.dssz.com