CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 四位 数码管 时钟 显示

搜索资源列表

  1. ZYMCU

    0下载:
  2. 用四位LED数码显示管显示实时时钟单片机源程序-with four LED digital display of real-time clock source SCM
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1144
    • 提供者:徐君
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. AVR

    1下载:
  2. AVR单片机 实验教学指导书 实验一 实训装置的认识与软件使用 实验二 彩灯控制 实验三 键控加减计数 实验四 外部中断的使用 实验五 数码管动态扫描显示 实验六 实时时钟显示 实验七 高频脉冲频率的测量 实验八 低频脉冲频率的测量 实验九 脉宽调制的实验 实验十 显示驱动器7219的使用 实验十一 7219驱动8位8段数码管的时钟显示 实验十二 8×8点阵字符显示控制器的使用 实验十三 异步通信实验 实验十四 多路模拟数据采集与显
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:864737
    • 提供者:廖云
  1. DS1302_

    0下载:
  2. 时钟:由于数码管是四位的,所以只能显示小时和分钟,要显示年份星期等其它信在convertion函数进行改动即可
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2111
    • 提供者:songsu
  1. time

    0下载:
  2. 数码管显示 89c51和四位共阴数码管显示的电子时钟 可以调整时间带闹铃-89c51 digital display and four were negative digital display with electronic alarm clock can adjust the time
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:1916
    • 提供者:yunsn
  1. clock

    0下载:
  2. Holtek单片机源码:此应用示范了使用HT48C10单片机的 16 位定时计数器产生内部中断以实现计时功能。这个应用依靠系统时钟频率作为计时的基准。此处所示的应用使用了 400KHz的系统时钟,通过内部除四分频产生 100KHz 的定时/计数器时钟。对于一个 16 位的计数器最大计数值为 65536,这将每隔 0.65536 秒产生一个内部中断。但是时钟需要1秒作为基本时间单元。 因此定时/计数器被设置成记录0.5秒的基准时间,取两次中断可得到 1 秒的基准时间。这里的应用使用 4 个 7 段
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:79190
    • 提供者:rainman
  1. e3

    0下载:
  2. 4位可逆计数器:将50MHz的时钟进行 分频后的结果作为时钟控制,根据输入进行条件判断,再通过设置一个四位的向量将结果输出,利用数码管显示在实验板上-CNTR 4: will be conducted at 50MHz clock frequency as the clock after the control conditions to determine the basis of inputs, and then set up a four through the results of th
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:151884
    • 提供者:evelyn
  1. traffic1

    0下载:
  2. 只有代码/* 信号定义与说明: CLK: 为同步时钟; EN: 使能信号,为1 的话,则控制器开始工作; LAMPA: 控制A 方向四盏灯的亮灭;其中,LAMPA0~LAMPA3,分别控制A 方向的 左拐灯、绿灯、黄灯和红灯; LAMPB: 控制B 方向四盏灯的亮灭;其中,LAMPB0 ~ LAMPB3,分别控制B 方向的 左拐灯、绿灯、黄灯和红灯; ACOUNT: 用于A 方向灯的时间显示,8 位,可驱动两个数码管; BCOUNT: 用于B 方向灯的时间显示,8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:828
    • 提供者:syh
  1. sc

    0下载:
  2. 电子钟C语言程序:CPU为STC12C50S60@12M;显示部分为P1口高四位接74LS248,驱动四位红色共阴数码管(段码)P1口低四位接数码管负极(位码);DS1302时钟芯片;-Clock C language program: CPU is STC12C50S60 @ 12M display high four part P1 port access 74LS248, drive four red common cathode LED (above code) P1 port acc
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:2965
    • 提供者:Jeff Lee
  1. digitron_driver_VHD

    0下载:
  2. 关于easy fpga开发板的led数码管的驱动; --输入:控制端ctrl_digin[2:0]共三位,表示(0~7)控制8个数码管的选通, -- 数据端dig_dtin[3:0]共四位,表示(0~F)控制数码管显示的数字 -- 控制时钟clk_dig一位用于时钟同步 --输出:显示dig_dtout[6:0]共七位,控制A,B,C,D,E,F,G[6:0]小数点不包括在内; -- 控制位ctrl_digout[7:0]共八位,任意时刻只能有一个为高,即只有一个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:920
    • 提供者:陈伟峰
  1. digitron_driver_V

    0下载:
  2. 关于easy fpga开发板的led数码管的驱动; 此为verilog程序 --输入:控制端ctrl_digin[2:0]共三位,表示(0~7)控制8个数码管的选通, -- 数据端dig_dtin[3:0]共四位,表示(0~F)控制数码管显示的数字 -- 控制时钟clk_dig一位用于时钟同步 --输出:显示dig_dtout[6:0]共七位,控制A,B,C,D,E,F,G[6:0]小数点不包括在内; -- 控制位ctrl_digout[7:0]共八位,任意时
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:871
    • 提供者:陈伟峰
  1. smg-clock

    0下载:
  2. 基于STC89C52,自己编写的数码管显示时钟的程序,八个数码管显示为:XX-XX-XX,系统有四个按键,功能分别是调整,加,减,确定。在按下调整键时候,显示时的两位数码管以1Hz频率闪烁。如果再次按下调整键,则分开始闪烁,时恢复正常显示,依次循环,直到按下确定键,恢复正常的显示。-Based on STC89C52, write your own digital display clock program, eight digital display is: XX-XX-XX, the sys
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:57389
    • 提供者:jeson shen
  1. LED_CLENDER

    0下载:
  2. 通过8位数码管显示当前的时间包括时分秒通过时间设置键可对当前的时间进行设置。共有3个设置按键按键1和按键2及按键3;按键1为选定键。按键1首次被按下时钟停止走时并且秒时间开始闪烁,此时可通过按键2对秒时间进行设置按键2按下一次秒时间数值加一。当按键1第二次被按下时分时间被选中并不停地闪烁,此时通过按键2可对分时间进行设置,按键2按下一次分时间加一。按键第三次被按下时小时时间被选中并且不停的闪烁,此时通过按键2可对小时时间进行设置,按键2每按下一次小时时间加一按键1第四次被按下后时间设置结束,时钟
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:4472
    • 提供者:well
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. clock

    0下载:
  2. 用实时钟芯片PCF8563配合单片机做的电子钟,用四位LED数码管显示小时和分钟-With a real-time clock chip PCF8563 microcontroller to do with the electronic bell, with four LED digital display hours and minutes
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:1239
    • 提供者:是文晓
  1. Electronic-clock

    0下载:
  2. 摘要:本文介绍了基于ATmega8的整点报时电子时钟设计系统。该系统实现了采用6位一体的七段数码管上显示时钟,通过按键开关调整对时以及整点报时三大功能。硬件电路主要包括:键盘电路、显示电路、复位电路、扬声器以及晶振。程序部分包括:主程序、时间调整子程序、显示子程序、整点报时子程序、中断程序。本系统通过硬件电路设计、软件系统设计、系统调试、仿真等四个步骤实现了上述功能。-Abstract: This article describes the whole point of time based o
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-08
    • 文件大小:724746
    • 提供者:香花
  1. digital_clock

    0下载:
  2. 本实验设计一个能够显示时、分、秒的数字时钟,时间在七段数码管上显示,显示数字为十进制数。通过开发板上的按键调整数字时钟的时间,分别用四个按键来控制分、时的增减,对于分、时的调整只影响本位,不产生进位或借位。各按键及数码管的功能要求如表1 所示。需要特别说明,因为开发板数码管的显示位宽不够,因此,通过一个开关进行切换选择(如:开,显示时分;关,显示分秒)。-When this experiment to design a display hours, minutes, seconds, digit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2442
    • 提供者:刘旭
  1. Sequence-Detector

    0下载:
  2. 利用状态机设计一个序列检测器,用以检测“1101”。用btn[1]和btn[0]作为输入分别代表1和0,输入的当前数字显示在数码管最后一位,每当新输入一个数字,之前输入的数字左移一位,依次显示出最近输入的四位数字,无输入时数码管不显示任何数字。clk时钟需要分频后才可作为检测时钟(建议分频至190Hz),每当检测到序列中有“1101”出现时,led[0]点亮,即数码显示管上显示“1101”时led[0]点亮;当按下btn[2]时恢复初始状态。-The use of a state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4503
    • 提供者:刘东辉
  1. timer_se

    0下载:
  2. 数字时钟可以显示分、秒,并通过按键进行复位;数字时钟由四个基本模块组成,顶层模块、分频模块、计数模块、译码显示模块。(1)分频模块 分频器将开发板提供的6MHz时钟信号分频得到周期为1s的控制信号,控制计数器改变状态。(2)计数模块:秒钟和分钟利用两个模60的BCD码计数器实现。计数器分为高4位与低4位分别控制低4位每秒钟加1,变化状态为0~9,低4位状态变化到9时,高4位加1,变化状态为0~5。秒钟计数达到59时,分钟低四位从1开始,每59秒加1,低4位状态变化到9时,高4位加1,变化状态为0
  3. 所属分类:其他

« 1 23 »
搜珍网 www.dssz.com