CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 实验设计

搜索资源列表

  1. 本实验要实现一个简单的命令解释器

    3下载:
  2. 本实验要实现一个简单的命令解释器,也就是Linux中的shell程序。实验程序起名为ysh,要求其设计类似于目前流行的shell解释程序,如bash、csh、tcsh,但不需要具备那么复杂的功能。ysh程序应当具有如下一些重要的特征:  能够执行外部程序命令,命令可以带参数。 . 。  能够执行fg、bg、cd、history、exit等内部命令。  使用管道和输入输出重定向。  支持前后台作业,提供作业控制功能,包括打印作业的清单,改变当前运行作业的前台/后台状
  3. 所属分类:Linux/Unix编程

    • 发布日期:2016-04-26
    • 文件大小:8186
    • 提供者:香烟
  1. Verilog数字系统设计教程(第2版)

    0下载:
  2. Verilog数字系统设计教程(第2版)”这本书的思考题没有答案,要多做实验和仿真-• Source code of designs in chapters 1 to 8. • Chapter 8 designs include the SAYEH processor, its C Compiler, and its Sort program run files. • Several Designs, including SAYEH, that are programmed o
  3. 所属分类:书籍源码

    • 发布日期:2016-01-27
    • 文件大小:2048
    • 提供者:shixiaodong
  1. 中科大微波电路实验

    1下载:
  2. 中科大微波电路实验,使用ADS软件设计一个低噪声放大器,并对其参数进行优化、仿真-USTC microwave circuit experiments, using ADS software to design a low-noise amplifier, and its parameters optimization, simulation
  3. 所属分类:软件工程

    • 发布日期:2017-04-04
    • 文件大小:415803
    • 提供者:joe
  1. pllverilog 完成pll锁相环的设计

    1下载:
  2. 基于FPGA的程序编写,完成pll锁相环的设计,实验证明次程序是完整的-FPGA-based programming, complete pll PLL design, experiments show that second program is complete
  3. 所属分类:软件工程

    • 发布日期:2017-03-23
    • 文件大小:196934
    • 提供者:wcl
  1. IIR.rar

    1下载:
  2. 用双线性变换设计数字滤波器,里面附有详细的实验说明和matlab运行通过的实验源码和对实验结果的分析,Using bilinear transform digital filter design, which experiments with detailed descr iptions and matlab source code to run through the experiment and the analysis of experimental results
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:33172
    • 提供者: 甘小华
  1. management-source.rar

    0下载:
  2. 软件工程实验报告 银行储蓄管理系统 1.可行性分析 2.总体设计 3.详细设计 4.实验报告模板 ,Software engineering experiments report bank savings management system 1. Feasibility analysis 2. Design 3. Detailed design 4. Experiment report template
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:60556
    • 提供者:海军
  1. chulijidiaodu.rar

    0下载:
  2. 设计一个按优先权调度和时间片轮转算法实现处理机调度的程序。 本实验模拟实现处理机调度,以加深了解处理机调度的工作。,Design a priority by scheduling and Round Robin time slice to achieve processor scheduling procedures. Experimental simulation of the realization of processor scheduling, processor scheduling
  3. 所属分类:CSharp

    • 发布日期:2016-01-26
    • 文件大小:2461
    • 提供者:dingdangmao
  1. shuzi.rar

    0下载:
  2. 数字电子钟设计,整点报时,时分秒分模块设计,另附实验报告和实验结果,内容详细不容错过,The design of digital electronic clock, the whole point of time when minutes and seconds sub-module design, an additional test reports and laboratory test results, the details not to be missed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1312621
    • 提供者:洪栋
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. 80C51.rar

    0下载:
  2. 单片机设计的交通信号灯控制程序源代码,其中包括实验指导书和实验论文!,Single-chip design of traffic signal control program source code, including the experimental instructions and experimental papers!
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:52649
    • 提供者:allen
  1. database.rar

    1下载:
  2. 数据库课程设计,源代码,实验报告,挺全面的,,Database of curriculum design, source code, test report, very comprehensive,
  3. 所属分类:MySQL

    • 发布日期:2016-11-18
    • 文件大小:316655
    • 提供者:赵党伟
  1. jisuanqdesign.rar

    0下载:
  2. 可视化计算器课程设计实验报告,附带源程序代码,与可执行文件,Curriculum design visualization experiments report calculator, with source code, and executable files
  3. 所属分类:GDI/图象编程

    • 发布日期:2017-05-11
    • 文件大小:2671003
    • 提供者:阿猫阿狗
  1. Web.zip

    0下载:
  2. 网页设计实验报告。包括实验步骤,内容,截图,总结等。,Web Design Experimental Report
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:642513
    • 提供者:qin
  1. 同一个进程地址空间内执行的七个线程

    0下载:
  2. 本实验设计在同一个进程地址空间内执行的七个线程。三个生产者线程四个消费者线程。生产者线程生产物品,然后将物品放置在一个空缓冲区中供消费者线程消费。消费者线程从缓冲区中获得物品,然后释放缓冲区。生产者线程生产物品时,若无空缓冲区可用,生产者线程必须等待消费者线程释放出一个空缓冲区;消费者线程消费物品时,若无满的缓冲区,消费者线程将被阻塞,直到新的物品被生产出来。-The experimental design in the same process address space implementa
  3. 所属分类:Internet/网络编程

    • 发布日期:2017-03-24
    • 文件大小:2766
    • 提供者:吴起
  1. sangehuibian.rar

    1下载:
  2. C_minus语言词法分析器的设计 递归下降分析器的设计 算符优先分析法分析器的设计 三个实验包括实验报告,C_minus language lexical analyzer design recursive descent parser design analysis operator priority analyzer design three experiments including the experiment report
  3. 所属分类:编译器/词法分析

    • 发布日期:2017-05-09
    • 文件大小:380384
    • 提供者:秋恬颂
  1. shukongdianyuan.rar

    1下载:
  2. 数控直流稳压电源设计 并且在Multisim平台上做的仿真实验 可用,NC and DC power supply design platform in the Multisim simulation experiments can be done
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:177659
    • 提供者:飞扬
  1. SY0915129

    0下载:
  2. 野人过河问题,本实验研究了用人工智能的理论求解传教士(Missionaries)与野人(Cannibals)过河问题(M-C问题)。实验设计采用产生式系统的概念,将问题用状态空间表示,搜索技术采用状态空间启发式搜索的A算法,规则设计采用产生式规则,用C语言实现了A算法求解M-C问题,加深了对人工智能的认识与理解。-Savage river problems, this experimental study the theory of using artificial intelligence t
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-13
    • 文件大小:3465
    • 提供者:余光学
  1. Visual FoxPro

    0下载:
  2. 图书馆借书管理系统(数据库)课程设计实验报告- The library borrows the book management system management system (database) the curriculum to design the test report
  3. 所属分类:Document

    • 发布日期:2017-11-24
    • 文件大小:128206
    • 提供者:
  1. TMS320LF2407

    0下载:
  2. 第0章绪论.数字信号处理器(DSP)综述 第1章TMS320LF240X系列DSP概述 第2章系统配置和中断 第3章存储器及I/O空间 第4章时钟和低功耗模式 第5章数字输入输出 第6章事件管理器(EV) 第7章模数转换(ADC)模块 第8章串行通信接口(SCI) 第9章串行外设接口SPI 第10章CAN控制器模块 第11章看门狗(WD)定时器 第12章DSP开发工具与开发环境 第13章DSP程序设计 ————主要内容: (1)DSP C语
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-16
    • 文件大小:4434471
    • 提供者:guxiaobin
  1. EmployeeApplicationSystem

    1下载:
  2. 这是一个实现员工请假管理系统的数据库课程设计的完整文档,里面包含详细的代码和实验报告,开发环境是SQL2005+VS2005,使用语言是C#-This is an implementation of employee leave management system' s database of curriculum design, complete document, which contains a detailed code and test reports, the developm
  3. 所属分类:SQL Server

    • 发布日期:2017-05-10
    • 文件大小:2299530
    • 提供者:天使
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com