CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 彩灯

搜索资源列表

  1. 52245512671

    0下载:
  2. 试设计一种彩灯控制器控制8盏灯。该彩灯控制器有4种自动切换的花样。第一种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮;第二种花样为彩灯两边同时亮一个逐次向中间移动再散开;第三种花样为彩灯两边同时亮两个逐次向中间移动再散开;第四种花样为彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮。四个花样自动变换,重复以上过程。输入时钟频率为500Hz,灯亮的时间在1—4秒之间,可以自由控制。电路中以“1”代表灯亮,以“0”代表灯灭-Try to design a lantern light c
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-16
    • 文件大小:163438
    • 提供者:ssapsanb
  1. 8lucaideng

    0下载:
  2. 彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟;-8lucaideng
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:215756
    • 提供者:
  1. hainan

    0下载:
  2. MAX+PLUS2环境下VHDL彩灯控制器编程 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调-MAX+ PLUS2 programming environment, VHDL lantern controller 1. With 10 LED, L0 ... ... L9 2. Display odd lights turn off before ① ② ③ again
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1100
    • 提供者:吴海霞
  1. yingyue_3

    0下载:
  2. 单片机音乐彩灯程序,用8*8的LED点阵显示图样,用数码管计时,蜂鸣器发出音乐。-SCM' s Lantern program, with 8* 8 LED dot matrix display design, digital control with timer, buzzer music.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2691
    • 提供者:xiao tuo
  1. EightLEDlightsadvertisingdesigns

    0下载:
  2. 将J7插上短路块,连接ISP下载器到学习板,将CS13-4.hex 文件下载到单片机,D0,D1,D2,D3,D4,D5,D6,D7,8个LED即显出模似彩灯靓丽效果,煞是好看!-Plug in the J7 short block, connected to the learning board ISP downloader, the CS13-4.hex file downloaded to the MCU, D0, D1, D2, D3, D4, D5, D6, D7, 8-LED die
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:8193
    • 提供者:杨雪松
  1. caideng

    0下载:
  2. 几路彩灯可以在程序的控制下彩灯轮流点亮,并可改变点亮的顺序。-Can process several road lantern lights turn under the control of light, light can change the order.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1870266
    • 提供者:lovesoph
  1. caideng

    0下载:
  2. 用于VHDL仿真循环彩灯的控制,能够很好的和硬件兼容,是经过VHDL软件调试通过的,放心使用。-VHDL simulation cycle for the control of lights, can be very good, and hardware compatibility, is passed through the VHDL software debugging, ease of use.
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:65565
    • 提供者:陆风
  1. paralleltest

    0下载:
  2. 电脑彩灯并口接线图(带有开关)我从网上找到的,谢谢,制图人-Carnival parallel computer wiring diagram (with the switch) I find on the Internet, thank you, drawing people
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:12472
    • 提供者:lh
  1. caideng

    0下载:
  2. 程序烧到片子,单片机完成对彩灯有规律的控制
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:584
    • 提供者:weilai
  1. caideng

    0下载:
  2. 彩灯设计 全面的设计 很好 含有电路图等-failed to translate
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:43504
    • 提供者:宋立新
  1. main

    0下载:
  2. ADuC7026评估版B的彩灯闪烁程序,三个灯同时-The code which makes the LED flash on EVB-B of AD7026
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:1652
    • 提供者: 杜睿
  1. 123

    0下载:
  2. 让彩灯从左到右或从右到左依次点亮。程序开始时,给某一个变量赋初始值0x01,并从端口输出变量的反码,等待一段时间后,让变量的值乘以2,再次输出反码并延时,直到所有数据输出完毕,再次重复整个过程。-Let lanterns from left to right or right to left turn light. Program began, a variable assigned to the initial value of a 0x01, and the output variables
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:782
    • 提供者:张文
  1. PSPICE

    0下载:
  2. 有关彩灯控制电路的原理图及模拟文件,主要实现的功能是根据频率的高低来调整灯的亮灭。 对PESPICE的学习比较有用-The lights control circuit schematic and simulation files, main function is to adjust the level according to frequency of bright lights off. More useful to learn of the PESPICE
  3. 所属分类:SCM

    • 发布日期:2017-05-10
    • 文件大小:2377079
    • 提供者:高远
  1. deng

    0下载:
  2. 彩灯电路,Quartus7.2,幕布式,移动式-deng Colourful light electric circuit, Quartus7.2, act cloth type, ambulation type
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:54812
    • 提供者:柠檬
  1. pronunciation

    0下载:
  2. PIC初学者必看,pic单片机控制LED七彩灯,大家相互支持啊!-PIC beginners must see, pic microcontroller control LED color light, we support each other ah!
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:842
    • 提供者:龙雨
  1. color16

    0下载:
  2. 16位彩灯设计-colourful light design
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:892
    • 提供者:
  1. balucaidhengsheji

    0下载:
  2. 采用VHDL设计的八路彩灯设计,可实现四种不同状态的彩灯显示形式-This is a colourful led-light design which can realize different displayer of led-light
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:3000
    • 提供者:
  1. AT89C2051-LED-control

    0下载:
  2. 基于AT89C2051单片机节日彩灯控制电路程序,51汇编源程序,代码长度中等,供学汇编的朋友学习-Control circuit program AT89C2051 microcontroller-based holiday lights, 51 assembler source code length medium for learning assembler friends learning
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:6478
    • 提供者:windtoheart
  1. caidengkongzhi

    0下载:
  2. 彩灯控制,实现单点移动和幕布两种控制模式-cai deng kong zhi
  3. 所属分类:File Formats

    • 发布日期:2017-04-07
    • 文件大小:58092
    • 提供者:liqing
  1. caideng

    0下载:
  2. 16盏彩灯循环 分4个节拍,按一定顺序依次循环执行-16 Lantern 4 beat loop points, according to a certain order of loop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:52794
    • 提供者:wangzexiang
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 23 »
搜珍网 www.dssz.com