CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 彩灯

搜索资源列表

  1. colour-LED-CPLD

    0下载:
  2. 一个基于CPLD的彩灯控制器,设计原理及部分代码-CPLD-based Lantern controller, design principles and some of the code
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:222173
    • 提供者:jackk
  1. mm

    0下载:
  2. 流水灯利用80C51控制16路彩灯,且用P0口输出-80C51 control of water use of 16 light lantern, and with the P0 port output
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:35938
    • 提供者:毛杰勇
  1. lantern

    0下载:
  2. 1、设计要求:用单片机设计一个彩灯控制器。 2、技术指标:给出一组彩灯[4个红灯4个绿灯或四个双色灯]。进行软硬件设计完成:彩灯的各种组合状态显示。-1, design requirements: design a lantern controller with microcontroller. 2, Technical Specifications: This paper presents a set of lights [4 red 4 green or four-color lamp
  3. 所属分类:assembly language

    • 发布日期:2017-04-16
    • 文件大小:49579
    • 提供者:王育
  1. xin

    0下载:
  2. 单片机控制循环彩灯 以风车的形状形式进行循环显示 主要采用AT89C52单片机和发光二极管 等-SCM control loop lights in order to form the shape of a windmill cycle shows that the main use of AT89C52 microcontroller and light-emitting diodes, etc.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-30
    • 文件大小:61777
    • 提供者:王潇
  1. 111

    0下载:
  2. Verilog语言编写的循环彩灯控制器 这个程序我已经在Actel板上烧过了,没问题。如果还有什么问题应该是你的板不同或者工具不同,我是在libero_8.5上做的 -VeriloG HDL IS VEVRY USEFUL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3943
    • 提供者:xinran
  1. LIBRARY

    0下载:
  2. 八位彩灯控制电路,数电课程设计很需要的,很好用
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:2754
    • 提供者:王盼盼
  1. protues_example

    0下载:
  2. proteus仿真实例,八路彩灯的仿真原理图。-proteus simulation example, eight-way lights the simulation schematic.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:14543
    • 提供者:赵常青
  1. light_controller

    0下载:
  2. 用HDL语言编写彩灯控制程序: 用状态机实现一个循环彩灯控制器,该控制器控制红、绿、黄三个发光管循环发亮,要 求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。程序所用时钟的频率为1HZ。-Lantern with HDL language control program: A state machine to achieve a circular lantern controller that controls red, green, yellow three LED lights c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:611
    • 提供者:shirley
  1. caidengkognzhi

    0下载:
  2. 该程序使用MEDwin编写,可以汇编程序上执行,所实现的功能是四种彩灯控制亮闪方式。经过实际跑,正确无误-The program uses MEDwin write, you can compile programs to run, the realization of the function of four kinds of lanterns bright flash control mode. After the actual running correctly
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:1110
    • 提供者:杜海彬
  1. lamplap

    0下载:
  2. fpga的多路彩灯控制器的设计与分析,涵VHDL代码 -fpga' s multi-Lantern controller design and analysis, Han VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:408163
    • 提供者:李飞
  1. caidengkongzhi

    0下载:
  2. 数字彩灯循环控制,根据输入的不同,来控制lED灯闪烁的时间。电路实现LED灯灭与亮的不同顺序。-Digital Lantern loop control, according to the different input to control light flashing lED time. Circuit bright LED lamp with a different order.
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:1018779
    • 提供者:
  1. caideng_dandian

    0下载:
  2. 彩灯控制电路,单点移动模式,一个点在8个发光二极管上来回的亮。-Lights control circuit, single-point move mode, a point in eight bright LEDs on the back and forth.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:188892
    • 提供者:沈佳伟
  1. ledyuan

    1下载:
  2. LED彩灯控制器 控制RBG三色LED变换各种颜色的控制器-RBG LED lantern controller change colors three-color LED controller
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:110799
    • 提供者:李育林
  1. 8_Lantern_controller_design_report

    0下载:
  2. 8路彩灯控制器设计报告:设计方案详细,内容规范,自己的课设哦-8 Lantern controller design report: detailed design, content specification, design their own lesson Oh
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:294667
    • 提供者:hy3
  1. LED_10ARGB2

    1下载:
  2. SONIX单片机 SN8P2604单片机的彩灯程序,带红外遥控,可以调节亮度,跑马速度,有掉电记忆功能-SONIX MCU MCU SN8P2604 Lantern program, with infrared remote control, you can adjust brightness, Happy Valley, speed, power-down memory function
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:67133
    • 提供者:dgx
  1. LED

    0下载:
  2. 按下列顺序无限循环移动彩灯显示电路,LED灯总数为8个,具体循环次序如下:1.D7,D3,其余灭,右移三次后全灭 2。D4,D0亮,其余灭,左移三次后全灭 3。D3,D4亮,其余灭,各向两边移三次后全灭 4,D7,D0亮,其余灭,各向中间移三次后全灭 -----上述灯移动的间隔为0.5s-In the following order infinite loop mobile lantern display circuit, LED lights total of 8, th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:445438
    • 提供者:xizi
  1. app_led_flash_souce_code

    0下载:
  2. ATmega8 LED彩灯控制,带模式切换-ATmega8 LED lights control switch with mode
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:167224
    • 提供者:yanxiaowei
  1. 201003124

    0下载:
  2. 随着人们生活环境的不断改善和美化, 在许多场合可以看到艺术彩灯。艺术彩灯由于其丰富的灯光色彩, 低廉的造价以及控制简单等特点而得到了广泛的应用, 用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的艺术彩灯控制器大多数用全硬件电路实现, 电路结构复杂、功能单一, 这样一旦制作成品只能按照固定的模式闪亮, 不根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。 本文提出了一种基于AT89C51单片
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:162627
    • 提供者:程琳
  1. LED

    0下载:
  2. LED彩灯程序,有三色频闪效果,可直接使用。-LED lights program, a three-color strobe effect, can be used directly.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:13554
    • 提供者:xzh
  1. 四位彩灯

    3下载:
  2. 基于EWB仿真软件的四位彩灯循环控制电路
  3. 所属分类:Windows编程

« 1 2 34 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com