CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 报时

搜索资源列表

  1. wildman

    0下载:
  2. 数字电子钟程序,计时时、分、秒,手动调整时间,闹铃,和整点报时-digital electronic clock procedures, a time when, minutes, and seconds, manual adjustments, Alarms, and the whole point is true.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1167
    • 提供者:傻航
  1. EWB

    1下载:
  2. EWB做的多功能数字钟 由振荡器输出稳定的高频脉冲信号作为时间基准,经分频器输出标准的秒脉冲,秒计数器满60向分计数器进位,分计数器满60向小时计数器进位,小时计数器按“12翻1”规律计数,计数器经译码器送到显示器;计数出现误差可用校时电路进行校时、校分、校秒, 可发挥部分:使闹钟具有可整点报时与定时闹钟的功能。 -EWB done by the multi-function digital clock oscillator output stable high frequency
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:128811
    • 提供者:zero
  1. lxa

    0下载:
  2. 将4MHz的访波输入到ccc模块上,输出500Hz提供鸣叫声频。1kHz的方波经fen10模块进行十分频后为秒模块mian、分模块mina、时模块hour,提供时钟信号;用sst模块为整点报时提供控制信号,(当59 50\"、52\"、54\"、56\"、58\"时,q500输出为”1”,秒为00时qlk输出为”1”,这两个信号经过逻辑或门实现报时功能);用sel模块提供数码管片选信号;用模块bbb将对应数码管信号送出需要的显示信号;用七段译码器dispa模块进行译码。 将4MHz的访波输入
  3. 所属分类:ASP源码

    • 发布日期:2008-10-13
    • 文件大小:6425
    • 提供者:索海铖
  1. 12yscz

    0下载:
  2. 本软件由(易语言3.5正式版编写) 软件名称:12易时尚钟 v1.0 软件功能: ★利用圆钟周围的12个按钮可快速启动配置好的程序。 ★很酷的圆形时钟,可完全自定义,自动隐藏,可换背景,提供两钟风格界面按钮。 ★数字钟,显示已运行时间,自动隐藏,自定义颜色、大小、背景等。 ★实用的整点报时、定时提醒、定时关机。 ★提供震动、声音、文字三钟报时方式。 ★做了个拨号上网程序,不知能不能上。 ★强大的易操作性、超酷的界面定会让你喜爱。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:84519
    • 提供者:yxf
  1. MyClockTest

    0下载:
  2. 这是我电子线路测试的作业,在FPGA板上实现数字钟,(Max2环境)采用VHDL语言编写,非常适合初学者。具备24小时计时,校时,低高音整点报时,定时和多重功能选择的功能。-This is my test of electronic circuits operating at the FPGA board digital clock (Max2 Environment) using VHDL language, very suitable for beginners. 24-hour time,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:507269
    • 提供者:blacksun
  1. duogongnengdianzizhong

    0下载:
  2. 具有整点报时功能,整点时响铃5s。具有控制启动和关闭功能。 具有调整起床铃,熄灯铃时间的功能。 具有调整打铃时间长短和间歇时间长短的功能。 -with whole point timekeeping function, the whole point ringing 5s. Have control startup and shutdown functions. Get up with adjustments bell, lights-out bell time function.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:941463
    • 提供者:吴声炬
  1. FPGAdigitaltimer

    0下载:
  2. 本设计要实现一个具有预置数的数字钟的设计,具体要求如下: 1. 正确显示年、月、日 2. 正确显示时、分、秒 3. 具有校时,整点报时和秒表功能 4. 进行系统模拟仿真和下载编程实验,验证系统的正确性 -designed to achieve this with a number of preset clock design, and specific requirements are as follows : 1. Display correctly, , 2. d
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:502688
    • 提供者:wangpeng
  1. edaTimer

    0下载:
  2. 数字钟的主要功能有年月日时分秒的显示输出功能和对日期及时间进行设置的功能,还可以有整点报时等功能。设计数字钟的核心问题是时钟日期的自动转换功能。即自动识别不同月份的天数的控制。据此可以设计一个如图所示结构的数字钟,该数字钟包括校时模块、月份天数处理模块、时分秒计时模块、年月日模块和输出选择模块。在本实验中,只进行了简单的数字时分秒设计,其他部分还有待下一步改进。-digital clock is the main function Minutes date when the output fun
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:41398
    • 提供者:wangpeng
  1. D_Clock

    0下载:
  2. 数字钟的主要功能有年月日时分秒的显示输出功能和对日期及时间进行设置的功能,还可以有整点报时等功能。设计数字钟的核心问题是时钟日期的自动转换功能。即自动识别不同月份的天数的控制。据此可以设计一个如图1所示结构的数字钟,该数字钟包括校时模块、时分秒计时模块、年月日模块、和输出选择模块。-digital clock is the main function Minutes date when the output function and the date and time set for the f
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:381108
    • 提供者:送水的
  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做),自动报整点时数(选做);其它扩展功能——显示年月日(能处理
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:23375
    • 提供者:田世坤
  1. szzsj

    0下载:
  2. 本文设计的数字钟具有以下特点: 1、具有时、分、秒计数显示功能,以二十四小时循环计时。 2、具有清零,调节小时,分钟的功能。 3、具有整点报时同时LED灯花样显示的功能。 -This paper describes the design of digital clock with the following characteristics : 1, with time, minutes and seconds count display function, to the 24-h
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12633
    • 提供者:cheng
  1. dan_pian_ji_bull33

    0下载:
  2. 单片机环境下的电子钟,8位数码管可切换显示年月日时分秒,还具有调时报时功能。代码简单好用!-SCM environment electronic bell, eight digital switching governing show date when Minutes, Times have also stressed at the function. Code simple and easy!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:7315
    • 提供者:大头
  1. 200682917257

    0下载:
  2. c语言写的哦,里面还带有整点报时音乐,音乐程序很简单-c written language Oh, there is also the whole point timekeeping with music, music is very simple procedure
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:285623
    • 提供者:yany
  1. 1519418

    0下载:
  2. aClock 多变小闹钟是一款计算机模拟的闹钟程序,不仅有显示时间,整点报时的功能,还可以设置任意个事件提示。有多款外观Skin可以选择,还可以选择使用数字式还是指针式表盘。 本文来源于虾客源码 http://www.xkxz.com-aClock changeable A small alarm clock is the clock computer simulation procedure is not only shows the time, The whole point timek
  3. 所属分类:DirextX编程

    • 发布日期:2008-10-13
    • 文件大小:252014
    • 提供者:wenhao
  1. Clock_Time

    0下载:
  2. 凌阳单片机实现时钟并语音报时的源代码 很有趣 当毕业设计不错
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:100010
    • 提供者:于世明
  1. wannianli

    1下载:
  2. 此款LED 数码万年历采用Holtek 公司开发的HT45R02 和HT38A5 微控制器设计,具有年、 月、日、时、分、秒、星期、温度、农历、气温、24 节气显示以及整点报时,定闹功能。 HT45R02 具有2K´ 14 位ROM,80´ 8 位RAM 和40 个双向输入/输出口。拥有省电,宽广的工作 电压范围等特点。HT38A5 提供高质量的和弦铃声,内含12 首音乐,以及8 和弦功能。HT45R02 只需3 个I/O 跟HT38A5 通讯即可执行整点报时
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:709339
    • 提供者:steven
  1. yuyinbaoshi

    0下载:
  2. 真人发声,语音报时!(含软件),编程使用 AHM、LMD控件
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:244938
    • 提供者:张春晖
  1. timer

    0下载:
  2. 1)仿WINDOWS界面,但无鼠标操作 2)具整点报时功能,几点报几声 3)可设置时间和日期 当然还有一些地方做得不行,比如输入时的光标闪烁,放在这里的目的就是与大家共同学习,欢迎批评指正。
  3. 所属分类:界面编程

    • 发布日期:2008-10-13
    • 文件大小:5106
    • 提供者:李言
  1. SpeechClock

    0下载:
  2. 凌阳单片机61板可用的语音报时电子钟,有数码管显示等功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:129802
    • 提供者:1111
  1. dianzizhong

    0下载:
  2. 89S51用的电子钟,有闹铃和整点报时功能
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3215
    • 提供者:xzp
« 1 2 3 45 6 7 8 9 10 ... 41 »
搜珍网 www.dssz.com