CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 按键消抖

搜索资源列表

  1. sw_debounce

    0下载:
  2. Lesson 9 BJ-EPM240学习板实验2——按键消抖实验-Key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1320
    • 提供者:卢磊
  1. anjianxiaodou

    0下载:
  2. verilog 按键消抖实验, 很好很基础!值得一看-verilog key debounce experiments, very very basic!
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:351430
    • 提供者:xy
  1. keyscanverilogCX

    0下载:
  2. 这里有完整的verilog按键消抖程序(经过验证的),有图有真相,本程序是依据特权老师的程序自行改编的,由于按键消抖仿真时间较长,这里是假定16个时钟周期便于仿真。内有详细说明!我在网络上目前只能查找到程序,却找不到仿真程序和解说配套的资料,本文件彻底填补了这一空缺,对于初学者很有帮助!-Here are complete verilog keys away shaking program (proven), picture is truth, this program is based on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8392970
    • 提供者:张明辉
  1. keyscanverilogCX

    0下载:
  2. 这里有完整的verilog按键消抖程序(经过验证的),有图有真相,本程序是依据特权老师的程序自行改编的,由于按键消抖仿真时间较长,这里是假定16个时钟周期便于仿真。内有详细说明!我在网络上目前只能查找到程序,却找不到仿真程序和解说配套的资料,本文件彻底填补了这一空缺,对于初学者很有帮助!
  3. 所属分类:VHDL编程

  1. Verilog

    0下载:
  2. 按键消抖控制LED程序.键值变化开始计时 10ms-LED key debounce control program. Keys change start time 10ms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:107264
    • 提供者:邓宇
  1. SCHK

    0下载:
  2. 10位序列检测器,有序列产生,分频器,按键消抖,序列检测,数码管扫描等几个模块构成,设计天津工业大学课程设计-10 sequence detector with sequence generation, dividers, key debounce, sequence detection, digital scanning, and several other modules, curriculum design, Tianjin Polytechnic University
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17177551
    • 提供者:ai
  1. jitter_filter

    0下载:
  2. Verilog按键消抖程序,根据按键时间进行消抖-Verilog key debounce program, according to the key debounce time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:618
    • 提供者:liu changyou
  1. SCM-entry-procedures-set-5

    0下载:
  2. 单片机入门程序集合5,包含5个单片机入门小程序,LED灯驱动,跑马灯,按键消抖程序等-SCM entry procedures set 5, includes five single-chip entry applet, LED lamp driver, marquees, key debounce procedures
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:663459
    • 提供者:赵冬洋
  1. an_jian_xiao_dou

    0下载:
  2. 基于FPGA的按键消抖设计代码,能实现按键消抖功能-Key debounce FPGA-based design code, to achieve key debounce function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:331477
    • 提供者:huang
  1. time-project

    0下载:
  2. 用VHDL语言实现数字时钟显示、控制、复位、加减、按键消抖-Using VHDL digital clock display, control, reset, subtraction, key debounce etc.
  3. 所属分类:Other systems

    • 发布日期:2017-05-02
    • 文件大小:677795
    • 提供者:张三
  1. keyboardxiaodou

    0下载:
  2. 按键消抖,参考。要根据脉宽的要求设置不同的记数宽度。-keys buffeting consumer reference. According to the pulse width with different requirements for the entry width.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1013
    • 提供者:buted
  1. timer

    0下载:
  2. 基于VHDL语言的一个简单秒表,包含按键消抖模块、数码管译码、计时器等模块。直接适用于basys2和nexys3两个开发板。更改ucf文件后适用于其他开发板-A simple stopwatch based on VHDL, including key debounce module, digital decoder, timers and other modules. Directly applicable to basys2 and nexys3 two development boards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:16547
    • 提供者:潘健森
  1. coinwasher2

    0下载:
  2. 自动投币洗衣机的控制器设计,包含按键消抖,控制器模块,数码管显示,对电机的控制信号输出。投两颗币将实现洗半桶,投三颗币实现洗一桶-Automatic coin washing machine controller design, including key debounce, controller module, digital display, the motor control signal output. Throw two coins will achieve half a bucket
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1196957
    • 提供者:shen
  1. lessen5

    0下载:
  2. avr megal16单片机 按键实验 实验中 主要通过按键消抖,实现延时,从而达到判断按键的效果-avr megal16 SCM key experimental
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-26
    • 文件大小:54414
    • 提供者:王者
  1. ug480-ver1.5

    0下载:
  2. 利用实验板上的XADC资源,对芯片温度、内部电源进行定时采集和监控,并把信息存入blockram,可实现翻看,并有按键消抖模块-XADC resource use experimental board, the chip temperature, the internal power supply timing collection and monitoring, and put information into blockram, look can be achieved, and a key
  3. 所属分类:Com Port

    • 发布日期:2017-05-21
    • 文件大小:6645707
    • 提供者:梅兰竹菊
  1. anjian

    0下载:
  2. 按键按下一般会产生抖动现象,工程必须掌握消抖的方法,此程序可以实现按键消抖。-Keys away shaking
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:540
    • 提供者:liujie
  1. keyboardxiaodou

    0下载:
  2. 按键消抖,参考。要根据脉宽的要求设置不同的记数宽度。-keys buffeting consumer reference. According to the pulse width with different requirements for the entry width.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-12
    • 文件大小:1053
    • 提供者:ymarki
  1. Timer-key-debounce

    0下载:
  2. 通过定时器定时来给按键消抖,每隔一段时间进行一次定时中断。-Timer key debounce
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-10
    • 文件大小:1337
    • 提供者:杨光
  1. ex2_key

    0下载:
  2. VHDL-按键消抖实验程序,采用EMP240处理器,希望对大家有用-VHDL-key debounce experimental procedures used EMP240 processor, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:314861
    • 提供者:李希光
  1. Experiment03

    0下载:
  2. 这个功能是按键消抖,通过模块化的建模思想来编程的-This feature is key debounce, modular modeling idea to programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:124567
    • 提供者:george ma
« 1 2 3 4 56 7 8 9 10 »
搜珍网 www.dssz.com