CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦信号发生器 vhdl

搜索资源列表

  1. xinhaofashengqi

    0下载:
  2. 利用VHDL语言实现的多种波形信号包括方波、正弦波、脉冲信号的波形发生器-xinhaofashengqi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:4035276
    • 提供者:前沿部
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. fourkindofwavesproductedbyVHDL

    0下载:
  2. 用VHDL语言编写的信号发生器。共有四种波形,递增锯齿波,方波,三角波,正弦波。因是初学者,故可能有些错误,望各位指正。-VHDL language with the signal generator. There are four types of waveforms, increased sawtooth, square wave, triangle wave, sine wave. I m beginner, so there may be some mistakes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:185683
    • 提供者:kinglg
  1. FPGA

    0下载:
  2. 基于FPGA的正弦信号发生器,该程序是由VHDL语言编程而成。-FPGA-based sinusoidal signal generator, the program is made by the VHDL programming language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:401287
    • 提供者:曾明
  1. singt2048

    0下载:
  2. 正弦波信号发生器 VHDL-Sine wave signal generator sine wave signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1349504
    • 提供者:yan frank
  1. sin

    0下载:
  2. 设计一个正弦信号发生器,用VHDL设计出同步寄存器、相位累加器等,正弦ROM查找表建议采用定制器件的方法完成,正弦ROM数据文件可以用C代码完成。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:87617
    • 提供者:朱恩培
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
  1. sin

    0下载:
  2. 基于vhdl的正弦信号发生器,经验证,可作为单独模块使用-The sine signal generator based on VHDL, experience card
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:140516
    • 提供者:
  1. func_generator

    0下载:
  2. 一种可调频率的正弦信号发生器的vhdl实现,含测试文件-An adjustable frequency sinusoidal signal generator vhdl implementation, including the test file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:872082
    • 提供者:sylor
  1. singt

    0下载:
  2. 基于fpga的正弦信号发生器,VHDL语言写的-Based on fpga sinusoidal signal generator, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1460
    • 提供者:白羽
  1. ALTERA@FPGA@example

    0下载:
  2. 基于ALTERA的几个VHDL实例,如FPGA单片机,DDS的正弦信号发生器,FPGA视频监控-VHDL example:such as DDS Sine signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:27246957
    • 提供者:bin
  1. signal-generator

    0下载:
  2. 基于VHDL的函数信号发生器【正弦波、三角波、锯齿波、方波】-signal generator【VHDL】
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:720787
    • 提供者:gaoyuanli
  1. singen

    0下载:
  2. 利用vhdl在quartusii中编写的正弦信号发生器,并在quartusii中进行了仿真-Using the VHDL in a QuartusII in the preparation of the sinusoidal signal generator, and makes simulation in QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:551886
    • 提供者:sunyanjuan
  1. sinout

    0下载:
  2. VHDL的正弦信号发生器设计,功能大家都知道了!!就不用说了呀-VHDL design of the sinusoidal signal generator, function as we all know it! ! Needless to say it! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4025
    • 提供者:quanguoxiang
  1. EDAsin

    0下载:
  2. VHDL 语言编写的正弦信号发生器,教学所用-Sinusoidal signal generator VHDL language teaching
  3. 所属分类:Other systems

    • 发布日期:2017-11-20
    • 文件大小:622
    • 提供者:乔玛丽
  1. function-of-fangbozhenxianandsanjiao

    0下载:
  2. 基于FPGA的函数信号发生器VHDL设计,包括方波、三角波和正弦波-FPGA-based VHDL design function signal generator, including a square wave, triangle wave and sine
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:119960
    • 提供者:eeant
  1. 12

    0下载:
  2. 在vhdl语言的环境下,自己设计正弦信号发生器,其中也包含一小段c语言程序-In vhdl language environment of their own design sinusoidal signal generator, which also contains a small c language program
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:183495
    • 提供者:陈沁
  1. sin

    0下载:
  2. 这是一个基于vhdl编写的正弦信号发生器,实现的功能为发生正弦波,给dac 0832采样-This is a sine signal generator based on VHDL code, realize the function of sine wave, give dac 0832 samples
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:318542
    • 提供者:薛冰
  1. sinout

    0下载:
  2. 结合MATLAB使用dsp builder编写正弦信号发生器,然后转换成VHDL语言-dsp builder
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-12
    • 文件大小:554
    • 提供者:sunfan
  1. s

    0下载:
  2. 结合MATLAB使用dsp builder编写正弦信号发生器,然后转换成VHDL语言-MATLAB dsp builder
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:550
    • 提供者:sunfan
« 1 2 34 »
搜珍网 www.dssz.com