CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦发生器

搜索资源列表

  1. wave_generator

    0下载:
  2. 一个简单的函数信号发生器工程源代码,可以产生方波,锯齿波,三角波,正弦波等基本信号,频率可调-A simple function generator project source code, you can generate a square wave, sawtooth, triangle, sine and other basic signal, adjustable frequency
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:631054
    • 提供者:范特
  1. boxing

    0下载:
  2. 波形发生器c51单片机 可实现方波,正弦波,三角波,锯齿波,可调频率-C51 microcontroller waveform generator can achieve a square wave, sine, triangle, sawtooth, adjustable frequency
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-29
    • 文件大小:61982
    • 提供者:陈仕仁
  1. signal

    0下载:
  2. 利用easyx写的示波器仿真,内含信号发生器(目前只能产生正弦信号),希望可以给爱好者提供参考。-Use easyx write oscilloscope simulation, embedded signal generator (currently only produces a sinusoidal signal), hoping to provide a reference for enthusiasts.
  3. 所属分类:2D Graphic

    • 发布日期:2017-05-07
    • 文件大小:1421002
    • 提供者:yuxiaobo
  1. Waveform-generator

    0下载:
  2. 波形发生器,按下SW3,D2亮灭情况改变一次,,输出5个三角波 ,且当三角波达到顶峰时,蜂鸣器报警一声 按下SW4,D3亮灭情况改变一次,,输出5个方波,且当方波达换向时,蜂鸣器报警一声 按下SW5,D4亮灭情况改变一次,输出5个锯齿波,且当锯齿波达到顶峰时,蜂鸣器报警一声 按下SW6,D2,D3,D4,亮灭情况改变一次,并输出5个正弦波-Waveform generator, press SW3, D2 blinking situation changed once,,
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:819713
    • 提供者:xu
  1. waveform

    0下载:
  2. 基于c8051f410单片机的波形发生器(可产生正弦波,方波,三角波)-Waveform generator based on C8051F410 single chip microcomputer (can produce sine wave, square wave, triangle wave)
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:5179
    • 提供者:何强
  1. DDS

    0下载:
  2. 基于DDS的信号发生器,产生10KHZ-15KH的正弦波、三角波信号;频率字M按键输入,每次增量1;-DDS-based signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:700689
    • 提供者:
  1. lisayu

    0下载:
  2. 通过正弦波发生器画李萨育图形,参数可以在前面板选择-You can the front panel painting by the sine wave generator Lissajous pattern parameters
  3. 所属分类:LabView

    • 发布日期:2017-04-28
    • 文件大小:20521
    • 提供者:燕子
  1. EEE

    0下载:
  2. 基于labview的任意波形发生器,可以长生 公式波形,手绘波形,正弦波,方波三角波-Labview based arbitrary waveform generator
  3. 所属分类:LabView

    • 发布日期:2017-04-28
    • 文件大小:165402
    • 提供者:陈立
  1. hanshuxinhaofashengqi

    1下载:
  2. 1.采用Labview设计一虚拟函数信号发生器, 通过数据采集卡输出波形信号,调节信号的相关参数,可观察示波器上波形的变化情况。 ⑴ 以NI MyDAQ数据采集卡作为输出接口; ⑵ 数据采集卡输出多种信号,至少完成三种波形:正弦波、三角波、方波(可调占空比)的输出,同时前面板上显示各输出波形; ⑶ 频率、幅值、初相位、直流偏移量和方波的占空比(0~100 )等参数可以通过前面板控件调节。 ⑷ 前面板可显示输出波形。-1. Labview design using a virtua
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:50227
    • 提供者:姚心恪
  1. Signal-generator

    0下载:
  2. 基于51单片机的波形发生器,可发生正弦,锯齿,方波,三角波-Waveform generator based on 51 single chip microcomputer, can happen sine, sawtooth, square wave, triangle wave
  3. 所属分类:source in ebook

    • 发布日期:2017-04-24
    • 文件大小:33621
    • 提供者:王天赐
  1. Signal-generator

    0下载:
  2. 方波,正弦波,三角波可调频调幅多功能信号发生器-Fang Bo, sine wave, triangle wave, multi function signal generator frequency and amplitude modulation
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:97625
    • 提供者:ywz
  1. LABVIEW-based-signal-generator

    0下载:
  2. 基于LABVIEW信号发生器 可以产生正弦、方波、三角波、锯齿波四种波形-It can generate a sinusoidal signal generator based on LABVIEW, square, triangle and sawtooth waveforms
  3. 所属分类:Graph Drawing

    • 发布日期:2017-04-27
    • 文件大小:14855
    • 提供者:fanzhenhgui
  1. yakonghanshu

    0下载:
  2. 利用集成运算放大器、积分电路以及差分放大电路等器件构成电路,设计完成一个压控型函数发生器。通过改变输入电压,实现信号输出频率的调节,并变换产生方波、三角波以及正弦波。-With integrated operational amplifier, the integrating circuit and a differential amplifier circuit and other devices constitute a circuit, a voltage-controlled type
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:2695460
    • 提供者:张扬
  1. fenpin

    0下载:
  2. 对m序列进行2ASK调制 包含分频器 m序列发生器 正弦信号发生器 二路选择器4个模块-process m sequence with 2Ask includes frequency divider, m sequence generator, sine signal generator and MUX
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1197579
    • 提供者:changquan
  1. DDS

    0下载:
  2. 基于STC12C5A60S2单片机开发的简易波形发生器,包括DDS芯片AD9833,液晶HB12864等源程序,能够产生正弦波、方波、三角波等波形。系统控制采用红外遥控的方式,能够方便的对波形幅度和频率等进行调节。随源码附上调试心得一份。-Simple waveform generator based on STC12C5A60S2 microcontroller development, including DDS chip AD9833, LCD HB12864 and other sour
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1320101
    • 提供者:易学通
  1. qwe

    0下载:
  2. 正弦波信号发生器设计使用泰勒级数展开法来实现正弦波信号。-Sine wave signal generator design uses a Taylor series expansion method to achieve a sine wave signal.
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:25192
    • 提供者:莫婷
  1. NandBuffer

    0下载:
  2. verilog编写,含三路正弦信号发生器,三路数据乒乓缓存模块。乒乓缓存读写控制采用三段式状态机实现。-The project contains a 3-channel sine generator and a 3-channel ping-pong buffer which is written in verilog. The write and read control of buffer is implemented in 3-segment FSM.
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:8358
    • 提供者:shanhuancui
  1. signal-generator128

    0下载:
  2. 基于STM32F103的函数信号发生器,含有正弦波,三角波,方波,锯齿波等-Function signal generator based on STM32F103, contain a sine wave, triangle wave, square wave, sawtooth wave, etc...
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-18
    • 文件大小:4973181
    • 提供者:yy
  1. AD9850

    1下载:
  2. msp430f5529芯片AD9850程序代码,dds正弦波信号发生器,频率相位可调,亲测可用-msp430f5529 chip AD9850 code, dds sine wave signal generator, frequency and phase adjustable
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:27025
    • 提供者:汪园
  1. Signal-generator

    0下载:
  2. 用STM32内部DAC制作信号发生器,方波三角波正弦波-STM32 internal DAC produced by the signal generator, square wave triangle wave sine wave
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:345358
    • 提供者:binkc
« 1 2 ... 39 40 41 42 43 4445 46 47 48 »
搜珍网 www.dssz.com