CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 发生器 设计

搜索资源列表

  1. VerilogHDLDDS

    0下载:
  2. 简单介绍了直接数字频率合成技术(DD S),利用DDS设计任意 波形发生器,其能够产生矩形波、正弦波、三角波、锯齿波等多种波形 -A brief introduction of direct digital frequency synthesis (DD S), the use of DDS design of arbitrary waveform generator, which can produce rectangular wave, sine wave, triangle wave,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:199991
    • 提供者:guoguo
  1. FunctionGenerator

    0下载:
  2. 设计内容:以STAR ES598PCI单板机,开发能够输出多种波形且频率可变的函数发生器。设计要求: (1)能够输出锯齿波,三角波,正弦波,脉冲波. (2)能根据键盘命令进行波形之间的切换. (3)能对输出的波形频率进行调节。 -Design content: STAR ES598PCI single board, developers can output a variety of waveforms and variable frequency function genera
  3. 所属分类:assembly language

    • 发布日期:2017-03-22
    • 文件大小:53289
    • 提供者:mike
  1. aa

    0下载:
  2. 1. 设计并制作一个具有高频率稳定度和高相位稳定度的低频函数发生器,频率可调,为1HZ-1KHz; 2. 波形种类:三角波、正弦波、方波、锯齿波 3. 没有明显的波形失真 4. 具有频率、波形种类显示和设置功能,即能通过按键设置指定频率,指定种类的波形输出,并在数码管上显示频率值及波形种类。 输出电压:0V~+5V -1. Design and produce a high frequency stability and high phase stability of l
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:76904
    • 提供者:394177191
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. ROM_based_sine_wave_generator_VHDL_design

    0下载:
  2. VHDL基于ROM的正弦波发生器的设计的实验报告,内附源代码-ROM-based sine wave generator VHDL design of experiment reports, included the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:4367
    • 提供者:CXJ
  1. VHDL(sin)

    0下载:
  2. 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:17414
    • 提供者:爱好
  1. xinhaofashengqi

    0下载:
  2. 本设计以LPC2103为控制芯片,探索研究了低频信号发生器的原理和应用,设计输出频率及幅度可调,频率范围为1HZ~50KHZ的正弦波、方波、三角波、调幅波、调频波及其复合波信号,具有信号频率、波形、幅度变化容易,硬件简单可靠等特点的多功能信号源。-For the control of the LPC2103 chip design, explore and study the low frequency signal generator theory and application of des
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-30
    • 文件大小:562845
    • 提供者:tan
  1. bxf

    0下载:
  2. 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。本次课程设计使用的AT89S51 单片机构成的发生器可产生锯齿波、三角波、正弦波等多种波形,波形的周期可以用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑等优点。在本设计的基础上,加上按钮控制和LED显示器,则可通过按钮设定所需要的波形频率,并在LED上显示频率、幅值电压,波形可用示波器显示。 -Waveform generator is a common source, widel
  3. 所属分类:Document

    • 发布日期:2017-04-03
    • 文件大小:4528
    • 提供者:
  1. aa

    0下载:
  2. 设计制作一个任意波形发生器,该波形发生器能产生正弦波、方波、三角波,通过一定的通信方式将用户编辑的任意形状波形数据从PC机传输至微控制器,并从波形生成电路输出所需的波形。波形发生器能够在一定范围内保证恒压输出-Designed by an arbitrary waveform generator, the waveform generator can produce sine, square, triangle wave, through a certain means of communica
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:54244
    • 提供者:谢云辉
  1. xhfsqyanjiu

    0下载:
  2. 基于直接数字频率合成(DDS) 原理,利用AT89C52 单片机作为控制器件,采用AD9850 型DDS 器件设计一个信号发生器。给出了信号发生器的硬件设计和软件设计参数,该系统可输出正弦 波、方波,且频带较宽、频率稳定度高,波形良好。该信号发生器具有更强的市场竞争力,在跳频技术、 无线电通信技术方面具有比较广阔的发展前景。-Based on Direct Digital Synthesis (DDS) theory, using AT89C52 microcontroller as
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:959388
    • 提供者:姚木
  1. 300-C51B

    0下载:
  2. 300个原创程序之C51第二部分 12864显示模块 2005 CCTV ROBOT 2005 CCTV_N 2005电子设计竞赛 2006 CCTV ROBOT 4X4键盘 8253频率计 8人表决器 AD9850DDS AD9851DDS DS1820 EX-board I2C总线驱动程序 LED电子钟 PS2接口 TC1297 Test USB_IDE 串口摸拟 冲床自动进料控制 冷光源调光 基于51内
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-08
    • 文件大小:1731791
    • 提供者:高海军
  1. sinbo

    0下载:
  2. 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:995764
    • 提供者:liyu
  1. 11

    4下载:
  2. 一个基于LabVIEW的信号发生器的设计。 1、利用实验室提供的仪器设备、软件等,学生亲自设计虚拟信号发生器。 2、实现虚拟信号发生器的仿真显示。在虚拟信号发生器的图形显示窗上观察模拟输出信号的波形,要求观察正弦波、方波、三角波。 3、实现虚拟信号发生器的模拟信号输出。①频率的测量。在延时分别为1、100、200、300、400的条件下,输出正弦波、方波、三角波信号,用示波器观察输出波形,与仿真显示做比较。用频率计测量信号频率。②滤波。选择不同的截止频率对输出信号进行滤波。③失真度的测量。对滤波
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:324132
    • 提供者:汤双泽
  1. sy7

    0下载:
  2. AT89S52实验设计 波形发生器 方波和正弦波-Experimental design square wave and sine wave generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:66027
    • 提供者:高风
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. niub

    0下载:
  2. 正弦函数表的设计做正弦波发生器的也许能用到-Design of the sine function table can be used to do, perhaps, to the sine wave generator
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:3060
    • 提供者:微臣
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. ROM-based-sine-wave-generator-design

    0下载:
  2. 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。-ROM-based sine wave generator design, its compilation, simulation. Specific requireme
  3. 所属分类:VHDL-FPGA-Verilog

  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
« 1 2 34 5 6 7 8 9 »
搜珍网 www.dssz.com