CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 发生器 设计

搜索资源列表

  1. xinhao

    0下载:
  2. 基于at89c51与dac832设计的信号发生器 可以产生方波 正弦波 三角波 锯齿波四种波形-Can produce a square wave sine wave triangle wave sawtooth four at89c51 based signal generator designed with dac832
  3. 所属分类:SCM

    • 发布日期:2015-12-15
    • 文件大小:3072
    • 提供者:zhaocuiye
  1. xiangweiyi

    0下载:
  2. 本设计给出了以凌阳16位单片机Spce061A为核心的数字式相位测量的基本原理与实现方案。该系统由相位测量仪、数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能。采用DDS技术生成两路正弦波信号,并通过改变存储器中数据读取的起始地址来实现数字移相的功能,用Ф-T变换技术来实现相位差的测量,使得测量分辨率精确到0.1o,测得的频率与相位差值送入LCD进行显示,加入红外键盘以及语音播报的功能,使得系统具有智能化、人性化的特色。-This design gives t
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:433523
    • 提供者:何祥
  1. DDS

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号发生器,。
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4485169
    • 提供者:冯阳
  1. 信号源类题目分析

    0下载:
  2. 信号源类有实用信号源的设计和制作(第二届,1995年)、波形发生器(第五届,2001年)和电压控制LC振荡器(第六届,2003年)。 实用信号源的设计和制作(第二届,1995年)要求设计制作一个正弦波和脉冲波信号源,频率范围20Hz~20kHz,低频信号源。涉及到的基础知识与制作能力包含:RC振荡器,脉冲振荡器,数字可调电位器,单片机,数字显示与控制等。 波形发生器(第五届,2001年)要求设计制作一个能产生正弦波、方波、三角波和由用户编辑的特定形状波形的波形发生器,频率范围100Hz~20
  3. 所属分类:文档资料

  1. C8051f020-Signalgenerator

    0下载:
  2. 使用C8051f020单片机制作一个频率可调的正弦波信号发生器,这是它的软件部分。本项目是天津大学每年必做的一个课程设计。-Use C8051f020 single chip microcomputer to produce a frequency adjustable sine wave signal generator, that is the software part of it.This project is the tianjin university a year will do
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-17
    • 文件大小:137980
    • 提供者:五岳之首
  1. project_wave_gen_code

    0下载:
  2. 设计并实现一个可产生正弦波、三角波和锯齿波的波形发生器。其工作频率为60MHz,可产生1MHz、2MHz、3MHz、4MHz、5MHz、6MHz、10MHz的正弦波、三角波和锯齿波。所产生波形的幅度、相位均可调整,输出数据的字长为12比特。应用环境为quartus 2-Design and implement a can produce sine, triangle, and sawtooth waveform generator. The operating frequency of 60MH
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1119565
    • 提供者:辛永超
  1. HSFSQ

    0下载:
  2. 用proteus设计一个函数信号发生器,要求能够产生正弦波、锯齿波、方波三种信号。 -Designed a function signal generator using Proteus, requires the ability to generate sine wave, sawtooth wave, Fang Bo three signals.
  3. 所属分类:SCM

    • 发布日期:2017-04-24
    • 文件大小:17885
    • 提供者:lili
  1. Key-61

    0下载:
  2. 本次设计是以TMS320C5509A DSP芯片为平台设计与实现多种波形发生器,它是一个以DSP为核心来实现波形产生器的系统,该系统具有结构简单灵活,抗干扰能力强,产生频率较高,应用广泛等特点。设计的硬件部分主要是由DSP芯片和D/A转换芯片TLC7528组成。TMS320C5509A DSP通过D/A输出三角波,正弦波,方波,锯齿波等信号,它们都是通过DSP应用软件给出,在以上硬件的基础上,通过软件编程来实现四种波波形。-The design is based on the platform
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:75362
    • 提供者:谢焱辉
  1. Sine-wave-test

    0下载:
  2. 用于8051f120单片机输出正弦波的测试,电赛资料,AD转换,波形发生器设计相关程序-For the 8051F120 microcontroller output sine wave test, electric game data, AD conversion, the program design of waveform generator
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:11504
    • 提供者:殷祥
  1. signal-generator-using-labview

    0下载:
  2. 基于labview 的信号发生器设计,可以产生方波,三角波,正弦波等。可以设置幅值,相角等-signal generator design using the labview
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-27
    • 文件大小:14833
    • 提供者:
  1. hanshuxinhaofashengqi

    1下载:
  2. 1.采用Labview设计一虚拟函数信号发生器, 通过数据采集卡输出波形信号,调节信号的相关参数,可观察示波器上波形的变化情况。 ⑴ 以NI MyDAQ数据采集卡作为输出接口; ⑵ 数据采集卡输出多种信号,至少完成三种波形:正弦波、三角波、方波(可调占空比)的输出,同时前面板上显示各输出波形; ⑶ 频率、幅值、初相位、直流偏移量和方波的占空比(0~100 )等参数可以通过前面板控件调节。 ⑷ 前面板可显示输出波形。-1. Labview design using a virtua
  3. 所属分类:LabView

    • 发布日期:2017-04-29
    • 文件大小:50227
    • 提供者:姚心恪
  1. yakonghanshu

    0下载:
  2. 利用集成运算放大器、积分电路以及差分放大电路等器件构成电路,设计完成一个压控型函数发生器。通过改变输入电压,实现信号输出频率的调节,并变换产生方波、三角波以及正弦波。-With integrated operational amplifier, the integrating circuit and a differential amplifier circuit and other devices constitute a circuit, a voltage-controlled type
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:2695460
    • 提供者:张扬
  1. qwe

    0下载:
  2. 正弦波信号发生器设计使用泰勒级数展开法来实现正弦波信号。-Sine wave signal generator design uses a Taylor series expansion method to achieve a sine wave signal.
  3. 所属分类:software engineering

    • 发布日期:2017-04-28
    • 文件大小:25192
    • 提供者:莫婷
  1. sin_keyi_16_jin_2

    0下载:
  2. 本次课程设计是做基于80C51F020的信号发生器的设计,要求采用编程的方法来实现正弦波的发生。通过D/A转换成模拟量而输出正弦波的采样值。把“采样定理”相关的理论运用于实践,利用模拟低通滤波器,将DAC转换将正弦波采样波形滤出,并显示在示波器上。要求产生频率为100Hz到1KHz的正弦波。-This course is designed to do 80C51F020 signal generator based on using programming methods to achieve
  3. 所属分类:SCM

    • 发布日期:2017-05-13
    • 文件大小:2933201
    • 提供者:常仲翰
  1. DANPIANJI

    0下载:
  2. 此次设计的波形发生器可产生 5 种波形,分别是正弦波、方波、三角波、梯形 波、锯齿波,以 AT89C51 单片机为核心,利用 DAC0832 完成数模转换,以独立 式按键方式来选择要产生的波形,并用示波器观察波形,侧重于硬件设计。 关键字: AT89C51 单片机 DAC0832 数模转换器 独立式按键 波形发生器 -The design of the waveform generator can
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:95595
    • 提供者:赵文文
  1. BoXingFaShengQI

    0下载:
  2. 基于C51单片机的波形发生器设计波形发生器,该波形发生器能产生正弦波、方波、三角波,锯齿波,梯形波,频率范围一定,有完整的proteus文件和程序。 -Based on C51 microcontroller waveform generator design waveform generator, the waveform generator can produce sine wave, Fang Bo, triangle wave, saw tooth wave, trapezoidal
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:115999
    • 提供者:赵文文
  1. xinhaofashengqi

    0下载:
  2. 本作品以STC89C51单片机为核心设计了一个函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,定义波形,如正弦波、方波、三角波、锯齿波,波形的频率100HZ到10KHZ和幅度0V到5v范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。输出频率及幅度可以通过LCD1602进行显示;可以1Hz、10 Hz、100 Hz、1000 Hz 步进该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点。-This work with STC89C51 m
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:40904
    • 提供者:wyf
  1. dds

    0下载:
  2. 这是一个基于FPGA设计的DDS信号发生器设计。能够生成正弦波\ASK\PSK\AM\FM等波形。-This is an FPGA design of DDS signal generator based on. Capable of generating sine \ASK\PSK\AM\FM and other waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1008891
    • 提供者:张良
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
« 1 2 3 4 5 6 7 89 »
搜珍网 www.dssz.com