CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 方波 三角波

搜索资源列表

  1. VHDL

    0下载:
  2. 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9404
    • 提供者:zhanyi
  1. xinhaoyuan

    0下载:
  2. 利用51系列单片机实现四种波形,正弦波、方拨、阶梯波、三角波,采用开关电路实现四种波形转换、放大电路实现波形幅度可调。通过编程实现仿真。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:20615
    • 提供者:best313
  1. boxing

    0下载:
  2. 基于凌阳波形发生器的程序,产生三角波,方波,正弦波,100Hz~200KHz
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:81725
    • 提供者:呵呵
  1. wav

    0下载:
  2. 使用单片机系统制作波形发生器,能产生正弦波、方波、三角波
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1389
    • 提供者:
  1. DAC0832产生6种波形

    0下载:
  2. DAC0832产生6种波形(三角波,方波,锯齿波,正弦波,梯形波,阶梯波)的课程设计,汇编语言
  3. 所属分类:其它文档

    • 发布日期:2009-01-03
    • 文件大小:144361
    • 提供者:teedy999
  1. 数模电函数信号发生器

    1下载:
  2. 本设计是基于数模电的函数信号发生器,可生成正弦波,方波,三角波 输出信号的频率范围为100~1000Hz,步进为100Hz。
  3. 所属分类:其它文档

    • 发布日期:2011-04-11
    • 文件大小:247511
    • 提供者:xhpjay
  1. 用51作的一个波形发生器

    0下载:
  2. 用51作的一个波形发生器,能产生方波,三角波,正弦波,ff
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-26
    • 文件大小:70648
    • 提供者:kk
  1. WaveDisplay.rar

    0下载:
  2. 一个图像入门软件,可以显示正弦波、方波和三角波。,An image entry software, can show sine, square and triangular wave.
  3. 所属分类:Audio program

    • 发布日期:2017-04-05
    • 文件大小:73997
    • 提供者:臧红忠
  1. DAC0832.rar

    1下载:
  2. 基于DAC0832的简单波形发生器,可以产生方波,锯齿波,三角波,正弦波,并可以通过键盘进行切换。,Based on the simple DAC0832 and can produce pulse waveform generator, sawtooth wave, triangle, sine wave, and can through the keyboard.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-11-21
    • 文件大小:19425
    • 提供者:陈辉
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. 基于51单片机制作的函数信号发生器

    7下载:
  2. 基于51单片机制作的函数信号发生器,用DA0832和运算放大器来输出,单片机产生信号,用proteus仿真了(内含),能够产生正弦波,方波,锯齿波,三角波的波形。,51 single-chip microcomputer-based production function signal generator, used to DA0832 and the op-amp output, single-chip signal, proteus simulation with the (implicit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-10-23
    • 文件大小:62838
    • 提供者:ou
  1. hanshufashengqi.rar

    0下载:
  2. 用单片机与DAC0832 构成的波形发生器,可产生方波、三角波、锯齿波、正弦波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。,Constitute a single chip with the DAC0832 using the waveform generator to generate square wave, triangle wave, sawtooth, sine wave, etc., the cycle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:82491
    • 提供者:hlhi
  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. DAC.rar

    0下载:
  2. 信号发生器 控制DAC输出最高100M方波、三角波、正弦波,function generator
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:914453
    • 提供者:wpc
  1. xinhaofashengqi.rar

    0下载:
  2. 采用DDS专用芯片AD9851产生正弦波、方波、三角波等波形,经可编程放大器放大后输出,输入在单片机的控制下,经D/A转换后控制AD9851产生波形的类型,波形的幅值、类型、频率和步进值在单片机的控制下由液晶显示。,Dedicated DDS chip AD9851 produced using sine, square, triangle and other waveform amplified by the programmable amplifier output, input under
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:62567
    • 提供者:海峰
  1. vhdl

    1下载:
  2. 本设计中应用硬件描述语言Verilog HDL描述相位累加器,相位调制器,正弦波、方波、三角波、心电波形四个独立的波形存储器,并描述频率控制、相位控字、幅度控制单元及波形切换等相关的功能单元。-Application of the design described in Verilog HDL hardware descr iption language phase accumulator, phase modulator, sine, square, triangle wave, the fo
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-03
    • 文件大小:4254
    • 提供者:kelly
  1. pwm

    0下载:
  2. 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1452376
    • 提供者:夜雨
  1. EOrgan

    0下载:
  2. 正弦波,三角波,方波绘制图并发声,可根据频率,幅度,相位,生成声音和波形图-Sine wave, triangle wave, square wave drawing and sound, according to the frequency, amplitude, phase, generating sound and waveform graph
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-05-17
    • 文件大小:4935503
    • 提供者:abao
  1. waveform_generator

    0下载:
  2. 数字信号发生器(DAC0832) 实现三角波,方波,正弦波三种波形输出。 包含Proteus仿真文件-Achieve triangle wave, square wave, sine wave output of three kinds. Proteus simulation file contains waveform_generator waveform_generator.c waveform_generator.hex waveform_gene
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:160260
    • 提供者:li xin
  1. xin

    0下载:
  2. 多功能波形发生器,可以产生正弦波,三角波,方波-Multi function waveform generator, can generate sine wave, triangle wave, square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:114825
    • 提供者:江东
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 32 »
搜珍网 www.dssz.com