CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 方波 三角波

搜索资源列表

  1. AD9833program00

    1下载:
  2. stm8驱动AD9833输出1-10K的正弦波、方波及三角波,有矩阵按键程序和LCD显示程序-AD9833 stm8 driver output of 1-10 k sine wave, rectangular, triangular wave, a matrix key procedures and LCD display program
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-04
    • 文件大小:165327
    • 提供者:xuguantian
  1. wave-genneration

    0下载:
  2. 基于stm32f103的波形发生器,改变数据组可产生三角波,方波,正弦波,阶梯波-the wave generation based on stm32f103,which can gennerate variable waves.
  3. 所属分类:SCM

    • 发布日期:2017-05-13
    • 文件大小:2979632
    • 提供者:qgc闯天涯
  1. Wave_GER

    0下载:
  2. 实现正弦、三角、锯齿、方波等4种的频率和幅度可控输出波形,还可完成各种波形的线形叠加输出,注解详细,值得学习-Achieve sinusoidal, triangular, serrated, Fang Bo and other 4 kinds of frequency and amplitude controllable output waveform, but also to complete the linear superposition of various waveforms out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:10343
    • 提供者:houjunfeng
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
  1. sp6ex30

    0下载:
  2. 根据外部控制,产生不同的波形,正弦波、三角波、方波-According to external control, produce different waveforms, sine wave, triangular wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:17240217
    • 提供者:杨晓
  1. shuangluxinhaoyuanziwancheng

    0下载:
  2. 基于原子stm32开发板的双路低频信号发生器,可实现正弦波,方波三角波,锯齿波,幅值可调,频率可调,可触摸操作-Based on atomic stm32 development board of the double low frequency signal generator, which can realize sine wave, square wave triangle wave, sawtooth wave, adjustable amplitude, frequency adjus
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-12
    • 文件大小:6221310
    • 提供者:秦绪帝
  1. AD9708

    0下载:
  2. 通过FPGA与AD9708高速DA模块输出可调频率的方波、正弦波、三角波,(Through FPGA and AD9708 high speed DA module, adjustable frequency Fang Bo, sine wave, triangle wave)
  3. 所属分类:VHDL/FPGA/Verilog

  1. dds1

    0下载:
  2. 通过FPGA实现的,dds数字信号发生器,可产生正弦波,方波,锯齿波,三角波(DDS digital signal generator through FPGA, DDS digital signal generator, can produce sine wave, square wave, sawtooth wave, triangle wave)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:9141248
    • 提供者:灏浩东
  1. Last Loaded xinhaogai

    0下载:
  2. 本文设计了一个简易函数发生器,可根据要求生成正弦波、方波、 三角波和锯齿波,波形的幅值、频率和电流偏置量均可在一定范围内调节。(A simple function generator is designed in this paper. Sine wave, Fang Bo wave, triangle wave and sawtooth wave can be generated according to the requirements. The amplitude, frequency a
  3. 所属分类:单片机开发

  1. WaverProductBasedOn51

    0下载:
  2. 可产生4种波形:方波、正弦波、锯齿波、三角波。通过按键进行波形切换及频率调节。波形幅值通过电位器调节。采用51单片机+DAC0832+运放+独立按键设计,实现简易信号(波形)发生器功能。资料含C语言源程序、PROTEL格式原理图及PCB图、仿真文件及WORD说明文档,是制作易信号发生器比较好的参考。(Can produce 4 kinds of waveform: square wave, sine wave, sawtooth wave, triangle wave. Waveform swi
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:1175552
    • 提供者:kari
  1. 波形发生器1

    0下载:
  2. 基于89C51的波形发生器,产生三角波,锯齿波,正弦波,方波,频率计,其幅度,频率可调(89C51 based waveform generator, generate triangular wave, sawtooth wave, sine wave, square wave, frequency meter, its amplitude, frequency adjustable)
  3. 所属分类:其他

    • 发布日期:2017-12-19
    • 文件大小:130048
    • 提供者:欢姐
  1. zhengyu989

    0下载:
  2. PID控制简单程序,可以分析正弦波,三角波,方波,斜波等波形的PID运算括前面板和程序。(PID control simple procedures, you can analyze sine wave, triangle wave, square wave, oblique wave and other waveform PID calculation, including the front panel and procedures.)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-22
    • 文件大小:62464
    • 提供者:赵延光
  1. b

    4下载:
  2. 基于MATLAB GUI 设计的数字信号处理系统,可以实现基本的信号生成,信号分析和信号滤波以及简单的语音信号处理等功能。其中信号生成模块可实现正弦波、方波、三角波、高斯白噪声、chrip信号的生成和叠加;信号分析模块支持基本的傅氏变换下的频域分析功能;信号滤波模块可以实现数字低通、高通、带通、带阻下的切比雪夫、巴特沃思、椭圆滤波器的设计和滤波处理;语音信号处理模块可以实现音频文件的读取、播放、叠加噪声、滤波等功能。(Based on MATLAB GUI design of digital s
  3. 所属分类:matlab例程

  1. DA_test

    1下载:
  2. 搭配DAC7724U ADC转换芯片测试程序。可产生方波、正弦波、三角波、锯齿波(With DAC7724U ADC conversion chip test program. Can produce Fang Bo, sine wave, triangle wave)
  3. 所属分类:软件工程

    • 发布日期:2017-12-11
    • 文件大小:670851
    • 提供者:darren_zhang
  1. 程序veriligHDL

    0下载:
  2. DDS实现波形发生器,产生频率和幅值均可调的三角波、正弦波、锯齿波、和方波等。(DDS realize waveform generator,)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:649216
    • 提供者:小心背后
  1. 信号源.zip

    1下载:
  2. 用c语言通过单片机产生方波,正弦波,三角波,锯齿波。(Produce Fang Bo, sine wave, triangle wave, sawtooth wave)
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:6144
    • 提供者:Mocca
  1. wave form generator

    0下载:
  2. 基于DDS的函数信号发生器,产生正弦波,方波,三角波和锯齿波(Function signal generator based on DDS generated sine wave, square wave, triangle wave and sawtooth wave)
  3. 所属分类:通讯编程

  1. 9-1

    0下载:
  2. 可以产生正弦波、方波、三角波、锯齿波,并设置幅度、频率、偏移、占空比。(Sine wave, Fang Bo wave, triangle wave and sawtooth wave can be generated, and the amplitude, frequency, offset and duty ratio are set.)
  3. 所属分类:其他

    • 发布日期:2018-01-02
    • 文件大小:24576
    • 提供者:ZHHSYYQ
  1. Hand-held oscilloscope STM32 source code

    0下载:
  2. 手持示波器实现正弦波、方波、三角波的频率、脉宽、电压幅值的测量,通过蓝牙模块实现Android手机远程测量和数据存储。(The hand-held oscilloscope is used to measure the frequency, pulse width and voltage amplitude of the sine wave, square wave and triangle wave, and realize the remote measurement and data st
  3. 所属分类:单片机开发

    • 发布日期:2018-01-03
    • 文件大小:4990976
    • 提供者:Hygge
  1. Hand-held oscilloscope Android source code

    0下载:
  2. 手持示波器实现正弦波、方波、三角波的频率、脉宽、电压幅值的测量,通过蓝牙模块实现Android手机远程测量和数据存储。该部分为Android源码(The hand-held oscilloscope is used to measure the frequency, pulse width and voltage amplitude of the sine wave, square wave and triangle wave, and realize the remote measuremen
  3. 所属分类:android开发

    • 发布日期:2018-01-03
    • 文件大小:100352
    • 提供者:Hygge
« 1 2 ... 26 27 28 29 30 3132 »
搜珍网 www.dssz.com