CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 DDS

搜索资源列表

  1. code

    0下载:
  2. dds信号源的主源程序,可以用来产生正弦波-The main source dds source can be used to generate sine wave
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:20632
    • 提供者:yqw
  1. ddsgt

    0下载:
  2. 采用DDS技术,在Altera 8.1软件下,利用VHDL语言编程,从而产生正弦波信号,经调试,文件正确可用-Using DDS technology, Altera 8.1 software, using the VHDL language programming, resulting in sine wave signal, after debugging, documentation is available right
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:241926
    • 提供者:郭岩伟
  1. boxingfashengqi

    0下载:
  2. DDS波形发生器,能够产生方波和正弦波的双通道的波形发生器,在quartus环境下运行-DDS waveform generator to produce square wave and sine wave of dual-channel waveform generator, runs under the environment in quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:189903
    • 提供者:李欣
  1. S3_WAVE

    0下载:
  2. 实现正弦波输出的DSS,在逻辑分析仪上仿真,能够真确实现功能-input DDS ,Sine wave output of the DSS to achieve FPGA-based,Simulation results using the logic analyzer fully meet the requirements
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2370682
    • 提供者:fx
  1. renyiboxing

    0下载:
  2. 信号发生器是一种常用的仪器,能够实现各种波形,不同频率的输出,电子测试系统的重要部件。本研究 的数字信号发生器足基于直接数字合成即DDS技术设计的,采用VHDL与C语言相结合的方法,通过查找存储 于ROM查找表中的各种标准波形数据,产牛频率Hf调并且高精度的正弦波、方波、锯齿波等常用信号,并且町 以通过修改表中的数据,实现任意信号发生器-Signal generator is a commonly used instrument to achieve a variety of wav
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:268299
    • 提供者:姚木
  1. Low-Frequency-SINE-Generator

    0下载:
  2. 一个简单的DDS实现正弦波输出的试验,内附PROTEUS 仿真程序。本例只实现了正弦波功能。-DDS to achieve a simple sine wave output test.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:49745
    • 提供者:dali9165
  1. dds_vhdl

    0下载:
  2. 该源码为VHDL语言编写DDS生产正弦波信号源码-The DDS source for the VHDL language production of sine wave signal source
  3. 所属分类:source in ebook

    • 发布日期:2017-03-29
    • 文件大小:372206
    • 提供者:zhouchao
  1. jj

    0下载:
  2. 基于DDS技术的数控信号发生器控制程序 正弦波1HZ-10MHZ 单片机 STC12C5410AD -Based on DDS technology of CNC signal generator control procedures sine 1HZ-10MHZ SCM STC12C5410AD
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:10474
    • 提供者:王海峰
  1. DDS_100325(13)_success

    0下载:
  2. QUARTUS II环境下VHDL语言编写DDS程序,双数字信号输出,一为正弦波幅值输出,一正弦波差值信号。时钟2^21HZ,带24bits频率控制字。-QUARTUS II environment, VHDL language DDS program, two digital signal output, an amplitude for the sine wave output, a sine wave difference signal. Clock 2 ^ 21HZ, with 24bi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1087912
    • 提供者:骆东君
  1. sinwave

    0下载:
  2. 在MATLAB中使用DSP Builder模块,利用DDS原理产生任意频率的正弦波-sinwave
  3. 所属分类:matlab

    • 发布日期:2017-03-22
    • 文件大小:6857
    • 提供者:wei
  1. 170

    0下载:
  2. Matlab下用dspbuilder实现dds模块产生正弦波的源码
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:76113
    • 提供者:shenmengge
  1. ps2_key_dds_50M

    0下载:
  2. 利用xilinx开发板,使用嵌入式系统,编写的ps2键盘和利用dds原理产生正弦波的程序-Using xilinx development board, the use of embedded systems, the preparation of the ps2 keyboard and use the procedures dds elements of the sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-17
    • 文件大小:26281382
    • 提供者:管叙民
  1. nios_dds

    0下载:
  2. 采用Altera的NIOS内核,配合独立的累加器,实现了正弦波,三角波,锯齿波和方波的DDS产生电路,系统时钟最高可达120MHz,配合高速DAC,可产生最高约40MHz左右的波形-Using Altera' s NIOS core, with a separate accumulator, to achieve a sine wave, triangle wave, sawtooth and square wave generation circuit DDS system clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3113548
    • 提供者:Tomy Lee
  1. 95302923dds123456WORD

    0下载:
  2. 直接数字频率合成器,DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。-DDS
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:490376
    • 提供者:鲍布
  1. MINEDDS

    0下载:
  2. DDS正弦波发生器,实现精确频率控制,采用的安捷伦的开发板。-DDS sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1999324
    • 提供者:陶宇
  1. ch451

    0下载:
  2. 控制dds芯片 通过单片机的控制 从dds中输出相应的 正弦波信号-control the dds
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:550
    • 提供者:田馥甄
  1. xianshi

    0下载:
  2. 通过单片机控制 dds芯片使dds产生一个频率可以调节的正弦波-control dds
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:866
    • 提供者:田馥甄
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. Code

    0下载:
  2. 设计一个正弦信号发生器,使用凌阳公司的16位单片机SPCE061A作为中央控制器,结合DDS芯片AD9850,产生0~15MHz频率可调的正弦信号,正弦信号频率设定值可断电保存;使用宽频放大技术,在50Ω负载电阻上使1K~10MHz范围内的正弦信号输出电压幅度VP-P=6V±1V;产生载波频率可设定的FM和AM信号;调制信号为1KHz的正弦波,调制信号的产生采用DDS技术,由CPLD和Flash ROM加上DAC进行直接数字合成;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:29227
    • 提供者:王金
  1. Function-Generator

    0下载:
  2. 以专用DDS芯片AD9851为核心,实现了多种函数信号的输出,其中包括正弦波、方波、三角波和锯齿波。-AD9851 DDS chip, a dedicated core of a variety of functions to achieve the output signals, including sine, square, triangle and sawtooth.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:173311
    • 提供者:刘敏
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 18 »
搜珍网 www.dssz.com