CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 DDS

搜索资源列表

  1. ad9851_code

    0下载:
  2. 这是AD公司的一款DDS芯片的驱动程序,这款芯片十分好用,对于不同的信号,正弦,方波等等,真的很好-This is one of the company DDS chip of the driver, type of chip is very convenient, for different signals, sine, square, and so on, is really good
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:10672
    • 提供者:孙海定
  1. dds_bate4[1].1

    0下载:
  2. 在quartus软件下用VHDL语言实现DDS,可产生正弦,余弦,方波,三角波以及锯齿波。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1767876
    • 提供者:崔浩然
  1. 四相载波发生器

    0下载:
  2. 本代码采用Altera公司的FPGA为主控芯片,以开发软件QuartusⅡ为工具,采用EDA设计中的自顶向下与层次式设计方法,使用精简的DDS算法完成了输入为14MHz,输出四路频率为70MHz的四相序正弦载波(相位分别为0°、90°、180°、270°)的设计。还完成了输入为14MHz,输出为70MHz的四相序方波载波(相位分别为0°、90°、180°、270°)的设计。利用Verilog HDL语言进行了程序设计并用QuartusⅡ对设计进行了仿真,验证了其正确性。
  3. 所属分类:源码下载

  1. top

    0下载:
  2. FPGA实现dds,可调频,任意波形,键盘输入。三角正弦锯齿波都有-FPGA realizing, can change the frequency, any DDS waveform, the keyboard input. The triangle sine sawtooth wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2638
    • 提供者:YGG
  1. dds_again

    0下载:
  2. 基于FPGA的DDS。可以产生三种波形:正弦,方波,三角波。频率分辨率0.012Hz。频率从0至25MHz任意可调。-FPGA-based DDS. Can produce three waveforms: sine, square, triangle wave. Frequency resolution 0.012Hz. Frequency is adjustable from 0 to 25MHz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1530695
    • 提供者:王志瑞
  1. ad9850

    0下载:
  2. AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成,信号发生器具有输出频率范围宽,可以输出正弦和方波两种波形,51代码-AD9850 DDS system and includes a programmable high-speed comparator, to achieve all-digital programming control of the frequency synthesizer, the signal generator has an output
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:29348
    • 提供者:刘虎
  1. ad9852_4

    0下载:
  2. 采用MSP430作为主控制器,控制DDS芯片AD9854,输出高稳定度的正弦及方波信号,结合AD9854进行FSK,QAM调制-Using MSP430 as the main controller to control the DDS chip AD9854, high stability output sine and square wave signal, combined with AD9854 for FSK, QAM modulation
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3842
    • 提供者:alex
  1. fsk

    0下载:
  2. 基于fpga的DDS实现,可以实现1hz-40Mhz的正弦信号,方波信号,锯齿波信号,三角波信号等的输出-DDS fpga-based implementation can be achieved 1hz-40Mhz sine signal, square wave signal, sawtooth signal, the output of the triangular wave signal, etc.
  3. 所属分类:Data structs

    • 发布日期:2017-04-05
    • 文件大小:2559
    • 提供者:谢军
  1. DDS_sin

    0下载:
  2. 这是一个用Verilog编写的以实现DDS功能的程序,包含了正弦、方波、锯齿波。-This is a Verilog written procedures to implement DDS functions, including sine, square wave, sawtooth.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2616594
    • 提供者:zhijun
  1. 04Wave_generation_DDS

    0下载:
  2. stm32f407单片机利用DDS方法生成任意频率的正弦波-stm32f4 DDS sine_wave_generator
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:359061
    • 提供者:xiahanbiao
  1. dds_generater

    0下载:
  2. 波形发生器,可以生成正弦波、三角波、方波、锯齿波;可以选择输出频率和幅度,基于DDS设计,verilog和QuartusII开发-Waveform generator can generate sine, triangle, square wave, sawtooth wave you can the output frequency and amplitude, DDS-based design, verilog and development QuartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5154290
    • 提供者:zhang
  1. program

    0下载:
  2. AD9834DDS,TI公司的DDS芯片,实现正弦波,方波可调频输出-AD9834DDS, TI' s DDS chip, realize sine wave, square wave output of FM
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:36323
    • 提供者:曾勇
  1. LJ_SPI_AD9833_2

    0下载:
  2. ADI公司的DDS芯片AD9833驱动程序,可以产生较宽频率范围的正弦波,精度高-ADI company DDS chip AD9833 driver, can produce a wider frequency range of sine wave, high precision
  3. 所属分类:SCM

    • 发布日期:2017-05-05
    • 文件大小:163466
    • 提供者:沈超
  1. DDS_BPSK

    0下载:
  2. 基于DDS原理产生频率可调正弦波的BPSK调制- U57FA u4E8EDDS u539F u7406 u4EA7 u751F u9891 u7387 u53EF u8C03 u6B63 u5F26 u6CE2 u7684BPSK u8C03 u5236
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:57729156
    • 提供者:王康
  1. sinwave512

    0下载:
  2. 正弦波发生器 veilog语言编写,DDS(Sine wave generator, veilog language)
  3. 所属分类:matlab例程

    • 发布日期:2017-12-23
    • 文件大小:2543616
    • 提供者:ccc1994
  1. 程序veriligHDL

    0下载:
  2. DDS实现波形发生器,产生频率和幅值均可调的三角波、正弦波、锯齿波、和方波等。(DDS realize waveform generator,)
  3. 所属分类:其他

    • 发布日期:2017-12-26
    • 文件大小:649216
    • 提供者:小心背后
  1. wave form generator

    0下载:
  2. 基于DDS的函数信号发生器,产生正弦波,方波,三角波和锯齿波(Function signal generator based on DDS generated sine wave, square wave, triangle wave and sawtooth wave)
  3. 所属分类:通讯编程

  1. LPC2148

    0下载:
  2. 实现信号发生器.以单片机LPC2148为控制核心,主要由键盘与LCD显示模块、正弦波和方波发生模块(DDS)、三角波发生模块(CPLD与高速D/A)、增益控制和放大模块组成。(Implementation of signal generator)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-05
    • 文件大小:61440
    • 提供者:asdfa.
  1. LPC2322

    0下载:
  2. 以单片机LPC2132为控制核心,主要由键盘与LCD显示模块、正弦波和方波发生模块(DDS)、三角波发生模块(CPLD与高速D/A)、增益控制和放大模块组成(Implementation of signal generator)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2018-01-05
    • 文件大小:61440
    • 提供者:asdfa.
« 1 2 ... 13 14 15 16 17 18»
搜珍网 www.dssz.com