CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 DDS

搜索资源列表

  1. dds

    0下载:
  2. 51单片机控制AD9851产生20HZ-1MHZ的正弦波,并且实现了按不同步进频率扫屏
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:2279
    • 提供者:徐冬
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. dds

    1下载:
  2. 此为基于ARM7编写的,采用周立功LPC2131系列ARM编写,用的是C语言,实现的是DDS AD9850 正弦波产生,晶振(参考频率)为PWM6产生,外围电路参照有关电路,不管何总都一样.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:161766
    • 提供者:shijiqian
  1. DDS-320-func

    0下载:
  2. 在采用 320x240 屏的设计实验箱上运行,产生正弦波,调幅调频波形,扫频。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:461363
    • 提供者:hangyinli
  1. DDS-320-modu

    0下载:
  2. 在采用 320x240 屏的设计实验箱上运行,产生正弦波,调幅调频波形,扫频。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1250158
    • 提供者:hangyinli
  1. dds

    0下载:
  2. 利用fpga实现的DDS,可输出正弦波,输出频率可调
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:468898
    • 提供者:qlg
  1. DDS

    0下载:
  2. 用8051控制DDS信号发生器,产生1HZ-10MHz的正弦波/三角波/方波
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:43770
    • 提供者:徐小平
  1. dds正弦发生器代码

    0下载:
  2. 讲述了dds直接数字频率合成的基本原理,同时用VHDL语言编写dds原代码用于生成正弦波,并在ISE开发平台进行仿真和MATLAB验证正弦波输出结果-described dds direct digital frequency synthesis of the basic tenets addition to the use of VHDL prepared dds source used to produce sine, and ISE development platform for sim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:491278
    • 提供者:czy
  1. dds函数信号发生器

    0下载:
  2. dds函数信号发生器,一个完整的正弦波程序,并有labview设计的人机交互界面
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-05-01
    • 文件大小:83307
    • 提供者:a200710920
  1. 用FPGA实现DDS信号发生及用MODELSIM仿真

    2下载:
  2. 该工程是用verilog编写,FPGA内部产生ROM及ADD加法器。ROM中存正弦波信号。文件夹中还包含modelsim仿真。
  3. 所属分类:VHDL编程

    • 发布日期:2011-03-21
    • 文件大小:2527046
    • 提供者:zhengguo22
  1. learn_dds.基于quartus ii 9.0的简易dds波形发生器

    2下载:
  2. 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置,Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used
  3. 所属分类:VHDL编程

    • 发布日期:2016-04-29
    • 文件大小:732127
    • 提供者:陈东旭
  1. AD9850.rar

    0下载:
  2. 基于AD9850的正弦波发生器的源码,运用单片机AT89S52对DDS芯片AD9850进行控制,通过键盘输入实现任意频率值的正弦波信号的发生,AD9850 Based on the sine wave generator source code, the use of single-chip AT89S52 of DDS chip AD9850 is controlled through keyboard input achieve arbitrary frequency value of th
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:37442
    • 提供者:独孤飞
  1. DDS

    0下载:
  2. DDS功能仿真,用MATLAB仿真DDS功能,通过控制频率控制字实现不同频率正弦波的输出。-DDS functional simulation, using MATLAB simulation DDS functions, by controlling the frequency control word different frequency sine wave output.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:797
    • 提供者:李冰
  1. DDS

    0下载:
  2. DDS信号生成模块,使用MATLAB产生查找表,可输出方波、三角波、锯齿波、正弦波-DDS signal generator module, using MATLAB to generate a lookup table can output square wave, triangle wave, sawtooth, sine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8883596
    • 提供者:苏杭
  1. 实验13-DDS技术-键盘反转法-2

    0下载:
  2. 用MSP430外加矩阵键盘实现DDS正弦波,方波的输出(Using MSP430 plus matrix keyboard to achieve DDS sine wave, Fang Bo output)
  3. 所属分类:嵌入式/单片机编程

  1. DDS v1.0

    1下载:
  2. 硬件平台:红牛stm32F103ZE开发板,DDS模块:AD9910 软件版本:Keil 4 固件库版本:v3.5 完成功能: (1)产生频率范围:1Hz - 400MHz 的正弦波(按键触发(F = 100KHz,Vpp:500mV):开发板上 WAKEUP 按键) (2)产生幅度范围:1mV - 650mV 的正弦波(初始化后:F = 100Hz,Vpp:100mV) (3)产生上下限频率、频率步进(单位:Hz)、步进时间间隔(单位:us;输入范围:1-2
  3. 所属分类:单片机开发

    • 发布日期:2018-05-02
    • 文件大小:308224
    • 提供者:NLQ
  1. DDS v2.0

    1下载:
  2. 硬件平台:红牛stm32F103ZE开发板,DDS模块:AD9910 软件版本:Keil 4 固件库版本:3.5 已完成功能: (1)产生频率范围:1Hz - 400MHz 的正弦波(按键触发:开发板上 WAKEUP 按键) (2)产生幅度范围:1mV - 650mV 的正弦波(初始化后为:500mV) (3)产生上下限频率、频率步进(单位:Hz)、步进时间间隔(单位:us;输入范围:1-262us)可调的扫频波(按键触发:开发板上 USER1 按键)
  3. 所属分类:单片机开发

    • 发布日期:2018-05-01
    • 文件大小:310272
    • 提供者:NLQ
  1. dds

    1下载:
  2. 通过按键控制产生任意频率的方波,正弦波,三角波,锯齿波(Fang Bo, sine wave, triangle wave and sawtooth wave at any frequency are generated by key control)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-23
    • 文件大小:4139008
    • 提供者:HUMBLE.
  1. 程序-正弦信号发生器(FPGA+STM32版)

    1下载:
  2. 以FPGA为核心,实现正弦波、调制波AM、FM、ASK和PSK等功能,通过SPI协议与STM32通信,实现输出波形的选择、频率的设置和基带信号的设定等。(With FPGA as the core, the functions of sine wave, modulation wave AM.FM. ASK and PSK are realized. The output waveform selection, frequency setting and baseband signal sett
  3. 所属分类:单片机开发

    • 发布日期:2019-05-23
    • 文件大小:4453376
    • 提供者:sun.smile
  1. AD9851设计的DDS信号发生器原理图加PCB

    0下载:
  2. 6倍频,30M晶体,内部时钟180M,可生成方波正弦波,三角波三种扫频信号,频率到达几十M轻轻松松。
  3. 所属分类:软件工程

« 1 2 34 5 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com