CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 DDS

搜索资源列表

  1. dds(heli).rar

    0下载:
  2. DDS用verilog 实现,可以实现方波、正弦和三角,DDS using verilog realized, can be square wave, sinusoidal and triangular
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-24
    • 文件大小:427879
    • 提供者:qian
  1. DDS

    1下载:
  2. 用Verilog编写的DDS逻辑,很好地实现了DDS功能,可以产生各种频率的正弦波。-DDS which was write by Verilog。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:443544
    • 提供者:宋升金
  1. DDS

    0下载:
  2. VHDL实现谐波检测信号发生的DDS. 同时发出正弦波,三角波,正弦波2倍频后的方波。波形频率相位可调。-VHDL implementation of harmonic detection signal of the DDS. Also issued a sine wave, triangle wave, sine wave, after square-wave frequency 2. Phase adjustable frequency waveform.
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:17133
    • 提供者:徐博
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DDS

    1下载:
  2. 实现DDS功能性仿真,可以产生正弦波信号,或者线性调频信号-DDS functionality to achieve simulation can generate sine wave signals, or a linear FM signal
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:3828
    • 提供者:闫鑫
  1. DDS

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序.-DDS program folder, complete direct digital frequency synthesis function, sine, triangle, square
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:433160
    • 提供者:王金
  1. CORDIC_SINE

    0下载:
  2. xilinx的ISE工程,用CORDIC算法做DDS生成正弦波-xilinx the ISE project to do with the CORDIC algorithm generates sine DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14447447
    • 提供者:刘伟
  1. AD9850

    0下载:
  2. DDS芯片,是一个相当牛的东东,可以用来实现正弦波、三角波、方波。可编程控制频率、相位。-DDS chip is a Dongdong cattle can be used to achieve the sine wave, triangle wave, square wave. Programmable control of frequency, phase.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:199217
    • 提供者:徐方俊
  1. fpga-fpdpsk

    0下载:
  2. FSK/PSK调制顶层文件 ,正弦波模块 ,正弦波模块初始化文件 ,振幅调整及波形选择模块 ,频率显示值地址产生模块 ,频率步进键核心模块 ,弹跳消除电路-FSK/PSK modulation top-level documents, sine-wave modules, module initialization file sine wave, amplitude adjustment and waveform selection module, the freque
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:27490
    • 提供者:libing
  1. dds

    0下载:
  2. 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:278374
    • 提供者:吴健
  1. DDS_Single

    0下载:
  2. DDS产生正弦波程序源代码,通过调试能正常使用-DDS sine wave generated source code through the debugger to normal use
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1018
    • 提供者:hasia
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. 75448152Project1-DDS

    0下载:
  2. 利用DDS芯片实现正弦波输出 使用synplify pro建立工程,加入这些文件 编译后生成.vqm的文件 用quartusII打开.vqm文件,编译通过 加入.vwf波形仿真文件,进行波形仿真 最后分配引脚,下载即可 - realization of sin wave in FPGA
  3. 所属分类:Document

    • 发布日期:2017-04-15
    • 文件大小:8615
    • 提供者:echo
  1. DDSckkc

    0下载:
  2. 以把直接数字频率合成(DDS)看成这样一种技术,它能用数字值形式的信号控制正弦波的频率。最简单的DDS电路包括一个二进制计数器,一个以等间隔正弦波值进行全波编程的ROM,以及一个数模转换器,用于将存储的正弦波值转换为电压。计数器的时钟频率决定了正弦波的频率,但这 -To the Direct Digital Synthesis (DDS) as such a technology, it can use the digital value of the form of the frequen
  3. 所属分类:Screen Saver

    • 发布日期:2017-04-02
    • 文件大小:199284
    • 提供者:huangz
  1. Sinusoidal_signal_generator

    0下载:
  2. 单片机控制DDS芯片AD9851产生10M以上稳定的正弦波-DDS chip AD9851 single-chip microcomputer to control more than 10M generate stable sine wave
  3. 所属分类:SCM

    • 发布日期:2017-05-04
    • 文件大小:1363593
    • 提供者:段小军
  1. dds

    0下载:
  2. DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,"C"文件夹内,是用于在 51 单片机上运行的 C语言程序, "Verilog"文件夹内,是用Verilog语言编写的 FPGA 程序-ewfreytrgrwf reggwrter rgterthhrgdfs rgdgf egrthg rgreaf rtgerf srfefsf frafgsf frghrsrgwgt
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:28372
    • 提供者:nbonwenli
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. cpld_32

    0下载:
  2. 用VHDL语言写的一个32位DDS的程序。可以产生正弦波-VHDL language used to write a 32-bit DDS procedures. Can produce sine wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:540368
    • 提供者:mt
  1. DDS-singletune

    0下载:
  2. 使用51实现的简单DDS9954固定频率正弦波输出信号程序-51 to achieve a simple to use DDS9954 fixed frequency sine wave output signal process
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:10870
    • 提供者:tony
  1. xinhao.c

    0下载:
  2. dds信号发生器程序,可以实现70Mhz的正弦波发生-dds c program
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:2031
    • 提供者:龙天
« 1 2 3 45 6 7 8 9 10 ... 18 »
搜珍网 www.dssz.com