CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 DDS

搜索资源列表

  1. DDS

    2下载:
  2. 附件包括1.基于FPGA实现DDS正弦波产生2.对应程设计说明一份3.重要说明一份。使用的软件平台为ISE13.3,硬件平台为Xilinx公司的V4板子。-DDS generator
  3. 所属分类:Other systems

    • 发布日期:2017-05-21
    • 文件大小:5718016
    • 提供者:zhulinglei
  1. DDS

    0下载:
  2. 基于DDS的信号发生器,产生10KHZ-15KH的正弦波、三角波信号;频率字M按键输入,每次增量1;-DDS-based signal generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:700689
    • 提供者:
  1. DDS

    0下载:
  2. DDS的FPGA实现(VHDL),只可调频,调幅可于外部DA实现。(内附三角波、正弦波、方波的rom调用)-DDS on FPGA (VHDL), only FM, AM can be implemented in an external DA. (With triangular wave, sine wave, square wave rom call)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:546860
    • 提供者:WSong
  1. DDS

    0下载:
  2. 基于STC12C5A60S2单片机开发的简易波形发生器,包括DDS芯片AD9833,液晶HB12864等源程序,能够产生正弦波、方波、三角波等波形。系统控制采用红外遥控的方式,能够方便的对波形幅度和频率等进行调节。随源码附上调试心得一份。-Simple waveform generator based on STC12C5A60S2 microcontroller development, including DDS chip AD9833, LCD HB12864 and other sour
  3. 所属分类:SCM

    • 发布日期:2017-05-07
    • 文件大小:1320101
    • 提供者:易学通
  1. STM32_For_AD9854

    0下载:
  2. DDS模块AD9854的程序,修改能输出1—100MHZ正弦波-DDS module AD9854 program, can output sine wave
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:759297
    • 提供者:刘荣福
  1. DDS

    3下载:
  2. STM32驱动AD9850产生方波正弦波- STM driver DDS program
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:315855
    • 提供者:binkc
  1. dds

    0下载:
  2. 基于FPGA的DDS程序代码,实现的功能强大可以输正弦波,三角波,方波等波形,并且频率可以调节。实现对应的功能强大。-FPGA-based DDS program code can achieve powerful output sine wave, triangle wave, square wave waveform and frequency can be adjusted. Implement corresponding powerful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:290931
    • 提供者:黄兴
  1. dds

    0下载:
  2. 这是一个基于FPGA设计的DDS信号发生器设计。能够生成正弦波\ASK\PSK\AM\FM等波形。-This is an FPGA design of DDS signal generator based on. Capable of generating sine \ASK\PSK\AM\FM and other waveforms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1008891
    • 提供者:张良
  1. dds

    0下载:
  2. 直接数字频率合成法产生正弦波,方波,锯齿波,三角波等基本波形。-Generate sine wave, direct digital frequency synthesis method of square wave, sawtooth wave, triangle wave and other basic waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1409
    • 提供者:marui
  1. DDS-AD9850

    0下载:
  2. DDSAD9850模块的操作程序,可以输出方波和正弦波,可以调节频率,使用IAR编译器编写的,msp430的程序-DDS module procedures, can output pulse and the sine wave, can adjust frequency, using the IAR compiler, msp430 program
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:674222
    • 提供者:碎浪
  1. dds

    0下载:
  2. 数字频率合成器设计,可实现各种频率正弦波的生成,亲测可用-Digital frequency synthesizer designed to achieve a variety of frequency sine wave generator, pro-test available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:849755
    • 提供者:mr zhao
  1. DDS(ok)

    0下载:
  2. 制作ROM正弦表并填充FPGA内部ROM,通过调用内部数据实现正弦波输出,开发环境quartusii , 语言verilog , 调试通过 , 附有modelsim调试结果。-Make ROM sine table and fill the ROM internal FPGA, by calling the internal data to achieve the sine wave output, development environment QuartusII, Language Veri
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10149508
    • 提供者:PrudentMe
  1. DDS

    4下载:
  2. 信号发生器设计 信号发生器由波形选择开关控制波形的输出, 分别能输出正弦波、方波和三角波三种波形, 波形的周期为2秒(由40M有源晶振分频控制)。考虑程序的容量,每种波形在一个周期内均取16个取样点,每个样点数据是8位(数值范围:00000000~11111111)。要求将D/A变换前的8位二进制数据(以十进制方式)输出到数码管动态演示出来。-Signal generator design The signal generator is controlled by waveform se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8041746
    • 提供者:韩大马
  1. DDS

    0下载:
  2. 基于FPGA的任意波形信号发生器,可实现频率、幅度、相位的调节,输出方波、正弦波、锯齿波-Arbitrary waveform generator based on FPGA
  3. 所属分类:software engineering

    • 发布日期:2017-12-12
    • 文件大小:11318258
    • 提供者:王勇
  1. dds1

    0下载:
  2. 通过FPGA实现的,dds数字信号发生器,可产生正弦波,方波,锯齿波,三角波(DDS digital signal generator through FPGA, DDS digital signal generator, can produce sine wave, square wave, sawtooth wave, triangle wave)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-24
    • 文件大小:9141248
    • 提供者:灏浩东
  1. sin

    0下载:
  2. 能够实现正弦波的输出以及通过频率控制字与相位控制字控制正弦波的相位与频率。(The output of the sine wave can be realized and the phase and frequency of the sine wave can be controlled by two control words.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3072
    • 提供者:BCQC
  1. dds1

    0下载:
  2. dds输出一个正弦波,通过修改频率控制字来控制频率(DDS outputs a sine wave to control frequency by modifying the frequency control word)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:5567488
    • 提供者:明月惊心
  1. dds

    0下载:
  2. 基于DDS的信号源设计(包括三角波、正弦波、方波)(Design of signal source based on DDS)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:4624384
    • 提供者:雨渔鱼
  1. DDS9834

    1下载:
  2. 基于stm32的ad9834正弦波输出 频率可调(Sinusoidal output of ad9834 based on stm32)
  3. 所属分类:单片机开发

    • 发布日期:2019-11-26
    • 文件大小:422912
    • 提供者:lelexph
  1. 10.AD9854DDS信号发生器

    0下载:
  2. 采用stm32作为主控芯片,经验证,可正确产生频率可调的正弦波和方波(Using STM32 as the main control chip, it has been proved that sinusoidal and square waves with adjustable frequency can be generated correctly.)
  3. 所属分类:嵌入式/单片机/硬件编程

« 1 2 3 4 5 6 7 89 10 11 12 13 ... 18 »
搜珍网 www.dssz.com