CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦

搜索资源列表

  1. zhengxianquxian1

    0下载:
  2. 用vc++画正弦曲线,其实包括源代码等文件。-Vc++ painted with sinusoid, in fact, including the source code and other documents.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-07
    • 文件大小:1172945
    • 提供者:李琳
  1. experiment_7

    0下载:
  2. 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据-ROM-based sine wave generator of the design: the use of MATLAB to obtain waveform data 64, to write the data in a ROM. Re-enter the clock, each rising edge followed by a read wav
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:101687
    • 提供者:evelyn
  1. Lcd19264sinewave

    0下载:
  2. 这是用192*64液晶实现的波形液晶显示。用的液晶型号是OCMJ4*12B,这是用正弦函数显示的。-This is 192* 64 LCD LCD waveform to achieve. LCD model is used OCMJ4* 12B, which is shown by sine function.
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:18949
    • 提供者:ben
  1. WJKS

    0下载:
  2. 该程序实现了微机控制的可以产生正弦波、三角波、方波,并可以使用键盘对其操作-The program can be controlled by a computer generated sine wave, triangle wave, square wave, and can use the keyboard to its operation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1342
    • 提供者:gmaj
  1. DDS-baseddesignofthesinusoidalsignalgenerator

    0下载:
  2. 本设计采用AT89552单片机,辅以必要的模拟电路,实现了一个基于直接数字频率合成技术(DDS)的正弦谊号发生器。设计中采用DDS芯片AD9850产生频率1KHZ~10MHZ范围内正弦波,采用功放AD811控制输出电压幅度, 由单片机AT89S52控制调节步进频率1HZ。在此基础上,用模拟乘法器MC1496实现了正弦调制信号频率为1KHZ的模拟相度调制信号;用FPGA芯片产生二进制NRZ码,与AD9850结合实现相移键控PSK、幅移键控ASK、频移镇键FSK。-AT89552 the singl
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:209208
    • 提供者:何蓓
  1. wavegenetor

    0下载:
  2. 用LPM_ROM设计存放一个周期的256×8大小的rom,构建简易频率可控的正弦波发生器。 -LPM_ROM design store with a cycle of 256 × 8 size of rom, build a simple sine wave generator frequency controllable.
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:122966
    • 提供者:张炳良
  1. VHDL

    0下载:
  2. DDS产生正弦波(VHDL语言)用DDS产生3MHZ的正弦波,VHDL控制语言-DDS have a sine wave (VHDL language) 3MHZ generated by the DDS sine wave, VHDL control language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:640
    • 提供者:chenyubin
  1. 1

    0下载:
  2. 正弦波信号发生器报告(含工作内容,步骤和图形)-Sine wave signal generator reports (including work, steps and graphics)
  3. 所属分类:software engineering

    • 发布日期:2017-04-23
    • 文件大小:36771
    • 提供者:姚文昊
  1. JFrame(zhengxuan)

    0下载:
  2. 这是用JAVA语言编的小程序,可以生成正弦曲线-This is the JAVA language used for small procedures, can be generated sinusoid
  3. 所属分类:Java Develop

    • 发布日期:2017-03-30
    • 文件大小:1492
    • 提供者:lch
  1. DrawWave_SaveAsBmp

    0下载:
  2. MFC双缓冲绘图,正弦波移动,适合工控数据采集.-MFC double buffering graphics, sine-wave movement, suitable for industrial data acquisition.
  3. 所属分类:Graph Drawing

    • 发布日期:2017-03-27
    • 文件大小:32420
    • 提供者:刘元
  1. at89c52t0

    0下载:
  2. 使用单片机,正弦波发生器,key0口按键减小输出频率,key1口按键增加输出频率 sinout口输出正弦波,(cosout口输出余弦波),使用定时器T0,16位定时模式。 R6、R7用作10毫秒延时寄存器。Fout输出方波。且输出的正弦波在0.01-83Hz范围内,方波在1.3Hz-10.6kHz范围内。-The use of single-chip, sine wave generator, key0 I reduce the output frequency keys, key1
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:1864
    • 提供者:ff
  1. vec_table

    0下载:
  2. 使用查表法实现正弦波的产生,用于CCS仿真,汇编-Sine look-up table method used to achieve the production of CCS for simulation, compilation
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:3697
    • 提供者:袁昊
  1. TKC7524jiekoudianluchengxu

    0下载:
  2. 根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波-TLC7524 output under the control of timing, the use of interface circuit, by changing the output data, the design o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:972
    • 提供者:离火
  1. VirtualWAVE

    0下载:
  2. 用VC++实现的虚拟示波器 1 单击“示波”按钮,可以显示一条动态的正弦曲线,及信号的幅值和频率 2 单击“调幅”旋钮,该旋钮发生旋转,正弦曲线的幅值和相关的幅度坐标系也发生变化 3 单击“调频”旋钮,该旋钮发生旋转,正弦曲线的频率发生相应变化 -Using VC++ to achieve a virtual oscilloscope click " Oscillographic" button, you can display a dynamic sinus
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:421990
    • 提供者:蜗牛
  1. quxian

    0下载:
  2. 多正弦曲线条件叠加,生成各种曲线,值得参考-Conditions of multi-sinusoid superimposed to generate a variety of curves, it is also useful
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:1358
    • 提供者:郭元彭
  1. generate_sin2

    0下载:
  2. 单片机正弦发生, 实验报告 希望有帮助-Occurrence of single-chip sinusoidal
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:226190
    • 提供者:fillzero
  1. Virtual_Oscilloscope

    0下载:
  2. 采用MFC实现的虚拟示波器界面,类似于常见的数字示波器显示面板; 可显示方波、正弦波及三角波三种波形不同参数的信号波形 对于方波可设置方波周期、占空比、幅度等参数; 对于正弦波可设置频率、幅度、相位参数; 对于三角波可设置周期、幅度参数; 三种波形可以同时存在,且所有波形均会随时间动态移动-MFC using the achievement of the virtual oscilloscope interface, similar to common digital osc
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:53914
    • 提供者:linlookfor
  1. periodgram

    0下载:
  2. 经典谱估计-周期图法 实现正弦波加正态白噪声信号的谱估计-Classical spectrum estimation- the realization of periodogram normal sine wave plus white noise signal spectrum estimation
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:1254
    • 提供者:王健
  1. burgf

    0下载:
  2. 信号为两个正弦信号加高斯白噪声,用burg递推法对其进行功率谱估计,效果不错。-Signal for two sinusoidal signal plus Gaussian white noise, the recursive method burg its power spectrum estimation, good results.
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:784
    • 提供者:王健
  1. dds

    0下载:
  2. fpga利用dds原理,产生正弦波,简单实用,成本低-fpga using dds principle, have a sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:571294
    • 提供者:wangjian
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com