CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 波形发生器

搜索资源列表

  1. sin_vhdl

    0下载:
  2. 由可编程器件控制的信号发生器可输出正弦波、方波、锯齿波,其频率可调。能输出正 弦波、方波、锯齿波的组合波形,且组合波形的频率可调。还能输出占空比和频率可调的方 波。-Controlled by a programmable device signal generator can output sine wave, square wave, sawtooth wave, its frequency is adjustable. Be able to output sine wave, sq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:135434
    • 提供者:chen
  1. FPGA-VHDL-DDS

    0下载:
  2. 基于FPGA的DDS波形发生器--程序,如果需要产生输出不同的位数的波形,可以自行修改程序中的rom表中数据位数-FPGA-based waveform generator DDS- procedure, if the number of bits required to generate output of different waveforms in the program can modify data in the table the median rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1256292
    • 提供者:许聪
  1. dds

    0下载:
  2. DDS波形发生器,可产生三角波、方波、三角波源程序。-DDS waveform generator can produce the triangular wave, square wave, triangle wave source.
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:2104
    • 提供者:史彧
  1. try

    0下载:
  2. 使用51单片机的定时器中断写的波形发生器-51 microcontroller timer interrupt write waveform generator
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-27
    • 文件大小:31369
    • 提供者:俄日
  1. msGenerator

    0下载:
  2. 普通波形发生器 开发环境:VisualBadsic-General waveform generator development environment: VisualBadsic
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:159363
    • 提供者:Michael
  1. wave

    0下载:
  2. 基于C8051F020的单片机开发平台 设计的波形发生器程序! -C8051F020 microcontroller-based development platform designed procedures Waveform Generator!
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3811
    • 提供者:sfwufo
  1. jiyuVHDLyuyandehanshuxinghaofashengqi

    0下载:
  2. 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1794
    • 提供者:sdfs
  1. shumi

    0下载:
  2. 波形发生器之疏密波的产生,这是一个通过内部选择器来从密波和疏波当中在某一该是选择输出的程序。-Waveform generator of the density wave, and this is an internal selector from thinning dense wave and wave them in a selection of the output process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:394003
    • 提供者:裴跃生
  1. 29782184

    0下载:
  2. 函数信号发生器 本系统能够产生正弦波、方波、三角波。同时还可以作为频率计测频率。函数信号的产生由MAX038和外围电路完成,能产生1Hz—20MHz的波形。波形选择由单片机完成。输出或输入频率经74HC390分频后,由单片机完成自动频率检测显示。 关键词:波形产生器、频率计、MAX038、74HC390、AT89S51。 -Function signal generator of the system can produce sine, square, triangular wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-28
    • 文件大小:95629
    • 提供者:张一
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. bxfsq

    0下载:
  2. 该设计采用ADC0832实现了四种波形的信号发生器的设计,采用C语言编程~!-The tester compiler by keil C51,share with you!
  3. 所属分类:SCM

    • 发布日期:2017-04-28
    • 文件大小:146071
    • 提供者:易龙明
  1. Chap16

    0下载:
  2. USB接口波形发生器 芯片固件程序loaderwave.sys驱动程序usbwave.sys驱动程序-USB interface chip waveform generator driver firmware loaderwave.sys driver usbwave.sys
  3. 所属分类:USB develop

    • 发布日期:2017-05-07
    • 文件大小:1485012
    • 提供者:lx
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. waveformGeneratorImplementationVHDLSourcecode

    0下载:
  2. 基于可编程逻辑器件实现任意波形发生器VHDL源代码-Programmable logic device based on the arbitrary waveform generator implementation VHDL source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2057
    • 提供者:sxb
  1. Multiwaveform

    0下载:
  2. DA转换 多波形发生器 方波 三角波 锯齿波-Waveform Generator Multi-waveform
  3. 所属分类:Audio program

    • 发布日期:2017-04-24
    • 文件大小:180871
    • 提供者:流星雨
  1. B(f)

    0下载:
  2. 自己编的VHDL的波形发生器 做信号的可以-BOXING
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:4660
    • 提供者:WEI
  1. sigal_generator

    0下载:
  2. 利用单片制作信号发生器,该源码是针对51+DAC0832,所产生的一系列波形,有正弦波、方波,并把相应的波形打印到lcd上显示-Making use of single-chip signal generator, the source for 51+ DAC0832, a series of waves generated, there are sine wave, square wave, and the waveform corresponding to the lcd display p
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:34927
    • 提供者:杨武权
  1. experiment_7

    0下载:
  2. 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据-ROM-based sine wave generator of the design: the use of MATLAB to obtain waveform data 64, to write the data in a ROM. Re-enter the clock, each rising edge followed by a read wav
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:101687
    • 提供者:evelyn
  1. chengxu

    0下载:
  2. 基于msp430单片机波形发生器(正弦波)-Msp430-based single-chip waveform generator (sine wave)
  3. 所属分类:SCM

    • 发布日期:2017-04-15
    • 文件大小:7223
    • 提供者:黑暗的黎明
  1. suoxianghuan

    0下载:
  2. 此为锁相环函数发生器 包括键盘扫描程序 频率显示程序 波形显示程序-This is the phase-locked function generators including the keyboard scanner frequency waveform display shows process procedures, etc.
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3221
    • 提供者:王华
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com