CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 波形发生器

搜索资源列表

  1. AD9833

    0下载:
  2. AD9833型可编程波形发生器是一款为各种需要得到高精度正弦波、三角波、方波信号的应用而设计的器件,实现各种波形产生-AD9833-based programmable waveform generator is a need for a variety of high-precision sine wave, triangle wave, square wave signals applied to devices designed to achieve a variety of wavefo
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:829
    • 提供者:lisan
  1. waveformgeneratorincludetestbench

    0下载:
  2. 用VHDL语言编写的波形发生器(含test beach)-Using VHDL language waveform generator (including the test beach)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:917
    • 提供者:钟跃民
  1. matlab

    0下载:
  2. 开题报告哦啊 我精心设计的 希望大家喜欢 任意波形发生器-Oh ah problem that the report carefully designed my hope that everyone likes Arbitrary Waveform Generator
  3. 所属分类:software engineering

    • 发布日期:2017-04-15
    • 文件大小:8142
    • 提供者:韩迪飞
  1. aa

    0下载:
  2. 我做的波形发生器,是用PROTEUS仿真的!-I make the waveform generator, PROTEUS simulation are used!
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:65115
    • 提供者:小帆
  1. 4.1.2

    0下载:
  2. 正弦余弦波形发生器,可以设置调节频率、幅度、相位、衰减、直流偏置等参数来产生相应波形。-Cosine Sine Waveform Generator, you can adjust the frequency settings, amplitude, phase, attenuation, such as DC bias to produce the corresponding waveform parameters.
  3. 所属分类:Other systems

    • 发布日期:2017-05-14
    • 文件大小:3225105
    • 提供者:丹丹
  1. ARMrenyiboxingfashengqi

    0下载:
  2. 基于ARM的任一波形发生器,可以有几种波形的产生。-some thing of ARM which can contain many waves
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-12
    • 文件大小:2981537
    • 提供者:张诗武
  1. AD9833

    0下载:
  2. AD9833是ADI公司生产的一款低功耗,可编程波形发生器,能够产生正弦波、三角波、方波输出。波形发生器广泛应用于各种测量、激励和时域响应领域,AD9833无需外接元件,输出频率和相位都可通过软件编程,易于调节,频率寄存器是28位的,主频时钟为... -AD9833 is ADI produced by a low-power, programmable waveform generator can produce sine wave, triangle wave, square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:293731
    • 提供者:zhang
  1. ncof

    0下载:
  2. quartus2环境中设计的高速任意波形发生器-highspeed waveform generator in quartus2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6496015
    • 提供者:杨胖
  1. boxingfas

    0下载:
  2. 利用单片机产生波形,实现波形发生器的功能-boxingfasqi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:12323
    • 提供者:tonycai
  1. boxin

    0下载:
  2. 基于DDS的正弦波形发生器频率在DAC芯片速度的的情况下可以实现大范围的连续可调-FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:621436
    • 提供者:李可
  1. MAX038

    1下载:
  2. 介绍了一种基于MAX038的宽频程控波形发生器。它可以产生三角波、方波和正弦波.输出频率范围为1.6 kHz~40MHz。与其他类型的波形发生器相比,该系统具有程控调节输 出频率的突出优点:以单片机和集成波形发生芯片MAX038为核心构成硬件电路能自动地反馈控制输出频率:采用上位机(Pc机)的软件设置波形发生器的各种参数并实时显示输出频率值。该波形发生器已经成功地应用于超声波发射换能器中。-Introduced a program based on the MAX038 waveform g
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:234401
    • 提供者:张昊
  1. boxingfashengqi

    0下载:
  2. 波形发生器: 三角波,矩形波等-Triangular wave, rectangular wave, such as
  3. 所属分类:assembly language

    • 发布日期:2017-04-11
    • 文件大小:1425
    • 提供者:wangyunhanxp
  1. whole

    0下载:
  2. 用AT89C51实现的数控电压源,电流源,波形发生器-digital voltage source,current source,wave generator,using AT89C51
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:3433
    • 提供者:zjubigyu
  1. DDSyuanma

    0下载:
  2. DDS波形发生器 (Synplify pro 编译通过)--输出频率 Fout = Fclk*2^M/2^N--分辨率 Fclk/2^N--最大输出频率 Fout = Fclk*50 (理论值,抽样定理)-DDS Waveform Generator (Synplify pro compiler through)- the output frequency Fout = Fclk* 2 ^ M/2 ^ N- Resolution Fclk/2 ^ N- the maximum output fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:304816
    • 提供者:lishaozhe
  1. waveproduce

    0下载:
  2. 51单片机波形发生器 DA 采用dac0832-51 single-chip DA waveform generator using dac0832
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:16667
    • 提供者:蔡德洋
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. QIMO

    0下载:
  2. Verilog 编写的任意波形发生器,附带了顶层文件,输出波形-Verilog prepared arbitrary waveform generator, with a top-level document, the output waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5356659
    • 提供者:ivan
  1. boxingfasheng

    0下载:
  2. 这是个用凌阳单片机SPCE061A实现波形发生器的程序-This is a single chip with Sunplus SPCE061A procedures to achieve Waveform Generator
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:865465
    • 提供者:小丁
  1. waveform

    0下载:
  2. 自己做的一个波形发生器,有兴趣的可以看下-Myself as a waveform generator may be interested in Kanxia
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:119923
    • 提供者:周宇
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com