CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 波形发生器

搜索资源列表

  1. pp

    2下载:
  2. ,基于LabVIEW这个软件开发平台。虚拟函数发生器的设计参考了常见信号发生器的功能,在功能上有所扩展。仪器主要功能如下: 1可产生实验室常用的正弦波、方波、三角波、锯齿波。2任意波形的发生,任意波可实现公式输入。3信号频率、幅度、相位、偏移量、方波占空比可调可控-Based on LabVIEW software development platform. The design of the virtual function generator reference to the common
  3. 所属分类:LabView

    • 发布日期:2017-11-25
    • 文件大小:171675
    • 提供者:谢盼
  1. sawtooth-generator

    0下载:
  2. 这是一个锯齿波形的发生器程序,可以提供我们需要的锯齿波形,-This is a sawtooth waveform generator program can provide we need a sawtooth waveform,
  3. 所属分类:SCM

    • 发布日期:2017-12-02
    • 文件大小:2395
    • 提供者:夏经强
  1. dipinxinhaofashengqi

    0下载:
  2. 基于单片机的多波形可调频率低频信号发生器-Adjustable frequency low frequency signal generator based on single-chip multi-waveform
  3. 所属分类:Driver develop

    • 发布日期:2017-11-22
    • 文件大小:62257
    • 提供者:王丰
  1. FPGA_Function_v3

    0下载:
  2. 基于cpld的多波形信号发生器。 可产生方波,三角波,正弦波,锯齿波。 可以通过一组拨码开关进行频率增加和减少。其中频率在100~1000hz不连续变化。-Based on multi-waveform signal generator cpld. Can produce a square wave, triangle wave, sine wave, sawtooth wave. Through a set of DIP switch frequency increases and d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:574565
    • 提供者:解雨辰
  1. hsk4571_sgna_generator

    0下载:
  2. 信号发生器的VHDL实现,可调节波形及频率,方波、锯齿波、三角波等,在QUATTUS||9.0下编写,可在9.0及以上版本运行并下载,芯片为Altera的Cyclone3 EP3C8T1-Signal Generator VHDL implementation, adjustable waveform and frequency, square wave, sawtooth, triangle, etc., in QUATTUS | | 9.0 under preparation, can be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:9726474
    • 提供者:hongsk
  1. Amplitude_Level

    0下载:
  2. 在labview虚拟仪器中,利用波形生成和波形测量选板,使用选板的函数节点,设计显示多种波形信号发生器,同时测量信号的幅值和电平。-In labview virtual instrument, using waveform generation and waveform measurements palette using palette function node design shows a variety of waveform signal generator, simultaneous
  3. 所属分类:LabView

    • 发布日期:2017-12-04
    • 文件大小:17479
    • 提供者:zgl
  1. jianyihanshu

    0下载:
  2. 用51单片机制作的简易函数发生器,能产生三种波形,并且可以通过按键来变换波形。-With 51 made simple function generator can produce three kinds of waveform, and can be key to transform waveform.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-05
    • 文件大小:36461
    • 提供者:刘坤兰
  1. a

    0下载:
  2. 函数发生器由波形选择开关控制波形的输出,分别能输出方波、三角波、正弦波波形-Function generator waveform by the waveform selector switch control output, respectively, can output square wave, triangle wave, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:19738
    • 提供者:张木月
  1. boxingshengcheng

    0下载:
  2. 信号发生器,可以生成不同频率幅值的正弦波,三角波等,还可以生成合成波形-Signal Generator
  3. 所属分类:LabView

    • 发布日期:2017-11-16
    • 文件大小:12612
    • 提供者:小小
  1. STM32waveform-settings

    0下载:
  2. 基于STM32的函数信号发生器的波形设置,包括正弦波、方波、三角波、锯齿波。-STM32-based function generator waveform settings, including sine, square, triangle wave, sawtooth wave.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-12-01
    • 文件大小:3640
    • 提供者:毕研庚
  1. 10010sequece-detector

    0下载:
  2. 序列发生器,Verilog HDL语言描述,包含文件说明和波形截图-Sequence generator, Verilog HDL language descr iption , contains the file descr iption and waveform capture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:48001
    • 提供者:孙璐
  1. M=15generator

    0下载:
  2. 模15序列发生器,Verilog HDL语言描述,包含文件说明和波形截图-mod15 generator, Verilog HDL language descr iption , contains the file descr iption and waveform capture
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:17146
    • 提供者:孙璐
  1. signal-generator-v1.0

    0下载:
  2. 基于C8051F020单片机的信号发生器设计,实现的功能输出波形:正弦波,方波,三角波,频率100Hz~10KHz(未使用DDS设计)-Based on C8051F020 MCU signal generator design, functions output waveforms: sine, square, triangle wave, frequency 100Hz ~ 10KHz (unused DDS design)
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-19
    • 文件大小:123764
    • 提供者:mengchenyezi
  1. fangbojishuqi

    0下载:
  2. 上升沿触发的方波发生器,并且在波形图上显示出方波-Rising edge of the square wave generator, and in the waveform diagram showing a square wave
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-27
    • 文件大小:86831
    • 提供者:江临月
  1. simplified-signal-generator

    0下载:
  2. 简易的信号发生器,能产生方波、正弦波、锯齿波。用msp430f169单片机的DA功能实现的,带有波形的数组-Simple signal generator, can produce a square wave, sine wave, sawtooth wave. DA function with msp430f169 microcontroller implemented with an array of waveforms
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:21847
    • 提供者:daniel
  1. sang

    0下载:
  2. 器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点-Uses digital waveform synthesis technology, hardware and softwa
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:70952
    • 提供者:黄州
  1. zhengxianbo

    0下载:
  2. 正弦波发生器,基于verilog语言编写的,不用用DAC模块,直接输出0和1电频,经过RC滤波后就可得到波形-Sine wave generator, based on verilog language, do not use the DAC module, direct output power frequency 0 and 1, RC-filtered waveform obtained after
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2087509
    • 提供者:王凌
  1. 51

    0下载:
  2. 基于51的 波形 信号发生器 方波 锯齿 正弦-51 Based on the sawtooth waveform signal generator sine square wave
  3. 所属分类:File Formats

    • 发布日期:2017-04-15
    • 文件大小:6296
    • 提供者:刘浩
  1. FPGA-based-PWM-generator

    0下载:
  2. 基于FPGA的PWM发生器,将所需的正弦波和三角波转化为数据文件,存入存储器中,用计数器逐一读取产生波形-FPGA-based PWM generator, the desired sine wave and triangular wave into a data file into memory, and one by one to read the counter generates a waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1966529
    • 提供者:
  1. ad9850

    0下载:
  2. AD9850内含可编程DDS系统和高速比较器,能实现全数字编程控制的频率合成,信号发生器具有输出频率范围宽,可以输出正弦和方波两种波形,51代码-AD9850 DDS system and includes a programmable high-speed comparator, to achieve all-digital programming control of the frequency synthesizer, the signal generator has an output
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:29348
    • 提供者:刘虎
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com