CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 波形 文件

搜索资源列表

  1. Gen_Quartus_Vwf_Mif

    0下载:
  2. 产生波形文件中需要注意的事项,很不错的呦,好不容易下到的-Waveform files generated needs attention, very good Yo, finally down to the
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:399725
    • 提供者:liulifeng
  1. edaciyuyanchengxu

    0下载:
  2. C语言程序,用于制作数字信号电源或者信号源时产生波形文件数据的。源码自己修改下就可以一直使用,在DOS下调用exe后右键单击选择标记,CTRL+C复制后就可以存在文本文档中了-C language program for the production of digital signal power or signal source waveform generated when the file data. Under the modified source code itself can al
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:50429
    • 提供者:xiaobo
  1. Record1

    0下载:
  2. Windows提供了一个称为「录音程序」来录制和播放数字声音。程序(RECORD1)不如「录音程序」完善,因为它不含有任何文件I/O,也不允许声音编辑。然而,这个程序显示了使用低阶波形声音API来录制和回放声音的基本方法。-Windows includes a program called Sound Recorder that lets you digitally record and playback sounds. The program(RECORD1) is not quite as
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-25
    • 文件大小:61514
    • 提供者:我有罪
  1. wavelet

    0下载:
  2. 小波变换的matlab程序,里面有对图片的小波变换和波形文件的小波变换程序-Matlab wavelet transform procedure, which the picture has on the waveform of wavelet transform and wavelet transform procedures documents
  3. 所属分类:matlab

    • 发布日期:2017-04-10
    • 文件大小:1337
    • 提供者:王磊
  1. mcu_8

    0下载:
  2. 使用函数实现简单的八位处理器 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个可以进行两个八位操作数四种操作的简单处理器; 2. 工程在project文件夹中,双击mpc.ise文件打开工程; 3. 源文件在rtl文件夹中,mpc.v为设计文件,mpc_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择mpc_tb.tbw,在Process View中双击“Si
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:220945
    • 提供者:王磊
  1. wav

    1下载:
  2. WAV音频波形显示分析,一个WAV文件以44字节文件头开始,数据类型是无符号型字节-WAV audio waveform display analysis, a WAV file to the beginning of 44-byte file header, data type is unsigned byte type
  3. 所属分类:Audio program

    • 发布日期:2017-04-06
    • 文件大小:2082
    • 提供者:木灵蓝
  1. MMWaveEditX

    0下载:
  2. 对Wave文件进行声波分析,生成波形图,并可带有简单的编辑功能,是从外国网站上找的的。-Acoustic Wave files for analysis, waveform generation, and with simple editing features, from a foreign Web site to find the.
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-24
    • 文件大小:144104
    • 提供者:
  1. mp3_waveshape

    0下载:
  2. 打开一个音乐文件后,可以显示mp3的波形的示例程序-Open a music file, mp3 to show an example of procedure of the waveform
  3. 所属分类:software engineering

    • 发布日期:2017-04-03
    • 文件大小:295781
    • 提供者:周宏
  1. CFaultRecoderidx

    0下载:
  2. 波形图程序,程序可以导入IDX格式文件,显示必行,可放大缩小-Wave process, procedures IDX format can be imported, must be carried out shows that can be enlarged or reduced
  3. 所属分类:GDI-Bitmap

    • 发布日期:2017-05-19
    • 文件大小:5550720
    • 提供者:张博
  1. WaveDiagram

    0下载:
  2. 用VC++实现的一个可以播放WAV格式的录音文件,并且显示出该文件的波形-Using VC++ to achieve a format that can play WAV audio files and show the waveform of the document
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:38565
    • 提供者:蜗牛
  1. counter

    0下载:
  2. 利用EDA工具MAX-PlusII的VDHL输入法,输入VHDL程序,实现2位计数器,在七段译码器上以十进制显示:0、1、2、3、0、...。时钟信号使用83管脚。采用自动机状态转换方式设计该计数器;建立相应仿真波形文件,并进行波形仿真;分析设计电路的正确性。-The use of EDA tools VDHL of the MAX-PlusII input method, enter the VHDL program, the realization of two counters, in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:92446
    • 提供者:米石
  1. REC_WAVE

    0下载:
  2. 在录音过程中输出音频文件的波形,适合初学者学习-In the recording process of the output waveform audio files, suitable for beginners to learn
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-05
    • 文件大小:7584
    • 提供者:滕升
  1. temp

    0下载:
  2. 这是一个温度计和PC机通信的例子,源码里面的可以实现像示波器一样显示温度的波形,最主要的就是格式转换,在文件夹:将收到的ASCII转换成相应的数据这个文件夹里边。调试通过了的。-This is a thermometer and PC communication examples, source code can be achieved inside the same temperature as the oscilloscope waveform, the most important is
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:72746
    • 提供者:leedong
  1. votage

    0下载:
  2. 这是一个温度计和PC机通信的例子源码,主程序为:文件夹\cpubbs_temperature_pc\receiver_temp_2.vi里面的可以实现像示波器一样显示温度的波形,最主要的就是格式转换,在文件夹:将收到的ASCII转换成相应的数据这个文件夹里边。调试通过了的。-This is a thermometer, and examples of PC communication source, the main program is: folder \ cpubbs_temperature
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:74338
    • 提供者:leedong
  1. QIMO

    0下载:
  2. Verilog 编写的任意波形发生器,附带了顶层文件,输出波形-Verilog prepared arbitrary waveform generator, with a top-level document, the output waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5356659
    • 提供者:ivan
  1. ourdev_552702

    0下载:
  2. 通过串口将TXT文件中的数据读取出来,并显示波形-Through the serial port data in the TXT file to read out and display the waveform
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:15459
    • 提供者:sidian
  1. fifo

    0下载:
  2. 用FPGA做的fifo,源码,调试通过,有工程和波形文件-FPGA to do with the fifo, source code, debugging through, there are engineering and waveform file
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-16
    • 文件大小:353947
    • 提供者:马泽龙
  1. WaveDesignByVC

    0下载:
  2. 做语音识别时,写的一个辅助程序。主要用于查看读入的WAV文件,绘画其具体的波形情况。-An program used to draw the wav data.
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-08
    • 文件大小:50158
    • 提供者:GD
  1. MidiPlayer

    0下载:
  2. 在Java应用程序中播放midi音乐 随着多媒体电脑的日益普及,声音、图像已经成为应用程序中不可缺少的一部分。midi音乐以其小巧、音质高、预先装载较波形文件容易,且具有广泛的软硬件适应性而备受青睐,特别是在需要以音乐作为背景音效的应用程序中更是如此。 在Java小应用程序中,Java提供了AudioClip这个接口,可以方便地对音频文件进行操作。-MidiPlayer
  3. 所属分类:Java Develop

    • 发布日期:2017-03-28
    • 文件大小:19538
    • 提供者:刘星
  1. 4142

    0下载:
  2. 用Visual Basic 播放波形文件文件,并显示其波形-Play wave files with Visual Basic files and display the waveform
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-14
    • 文件大小:5316
    • 提供者:de de
« 1 2 3 4 56 7 8 9 10 ... 40 »
搜珍网 www.dssz.com