CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 波形 文件

搜索资源列表

  1. System_Demons

    0下载:
  2. 0.最简单的SystemC程序:hello, world. 1.用SystemC实现D触发器的例子,同时也演示了如何生成VCD波形文件。 2.用SystemC实现同步FIFO的例子。这个FIFO是从同文件夹的fifo.v(verilog代码)翻译过来的。 3.如何在SystemC中实现延时(类似verilog中的#time)的例子。 4.SystemC文档《User Guide》中的例子。注意和文挡中稍有不同的是修改了packet.h文件,重载了=和<<操作符。这其实
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:532875
    • 提供者:sdd
  1. EXERCISE

    0下载:
  2. 可以解析wave波形文件,播放音频文件,播放PCM音频素材。 -Can analytical wave waveform file, playing audio files, play PCM audio material.
  3. 所属分类:Audio program

    • 发布日期:2017-04-10
    • 文件大小:1882603
    • 提供者:侯方
  1. encoding-decoding

    1下载:
  2. 卷积码编码译码程序以及其modelsim仿真波形文件等-Convolutional code encoding and decoding procedures and the Modelsim simulation waveform file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11053892
    • 提供者:风神
  1. labview

    0下载:
  2. labview共振解调读取波形文件,适用于共振解调方法,对信号处理-Read labview resonant demodulation waveform file, applicable to the resonance demodulation method of signal processing
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:41372
    • 提供者:高兵兵
  1. readwav

    0下载:
  2. 读取多声道波形文件,并且把各个声道分离出来。-Read multi-channel waveform files, and each channel separation.
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-10
    • 文件大小:2012232
    • 提供者:陈曦
  1. TWav2Adpccmh

    0下载:
  2. 该源码是对 *.wav语音波形文件做去直流电平,归一化处理后进行ADPCM编码压缩,形成编码文件和编码文件转转外存格式转化,并利用编码文件做输入,进行解码并生成 *.wav 。该程序算法已经在产品中应用。 已通过测试。 -The source is the do go to the DC level*. Wav voice waveform file, owned by the ADPCM compression coding processing, the formation of cod
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:8323
    • 提供者:debates
  1. ROM_GENERATOR

    0下载:
  2. 用matlab生成xilinx波形文件即coe文件。-Using matlab to generate the the xilinx waveform file.
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:744
    • 提供者:fanjialiang
  1. 0f1cc5d09c0d

    0下载:
  2. 自己编写的DDS发生器,方波、三角波、正弦波、还可以输入任意的波形文件-I have written DDS generator, square wave, triangle wave, sine wave, you can enter an arbitrary waveform file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:916634
    • 提供者:唐泽栋
  1. waveform-file-to-excel-file

    0下载:
  2. 多通道数据采集,存储为波形文件,后转化为excel文件-Multi-channel data acquisition, stored as a wave file, and then converted into excel file
  3. 所属分类:LabView

    • 发布日期:2017-11-06
    • 文件大小:54966
    • 提供者:wuzhi
  1. TDMS-read

    0下载:
  2. 回放保存成TDMS格式的波形文件,并在回放时选择保存路径,显示设置的各项参数,配置波形保存VI用。-The waveform file save into TDMS format of the playback, and select the path to save the playback, set the parameters and configuration waveform save VI with.
  3. 所属分类:LabView

    • 发布日期:2017-11-09
    • 文件大小:19564
    • 提供者:齐秀娟
  1. 20130115

    0下载:
  2. VC++中声音波形文件及声卡编程,网上有很多关于RIFF的介绍,但都是转载,没有非常详细的说明,本文非常详细地说明了wav文件格式,细到一个一个字节说明含义,对想彻底搞清WAV文件的朋友非常有用。-RIFF introduction, but they are eproduced, not very detailed descr iption detail wav file format, fine to a byte instructions meaning friends want to c
  3. 所属分类:software engineering

    • 发布日期:2017-11-07
    • 文件大小:87583
    • 提供者:明华
  1. dlxwj

    0下载:
  2. 将音乐波形文件转变成2进制文件的软件。用于单片机DA模拟声音时使用-Music waveform file into a binary file software.
  3. 所属分类:software engineering

    • 发布日期:2017-11-21
    • 文件大小:34325
    • 提供者:wangdy
  1. Virtual-Island_src1.5__Demo

    0下载:
  2. 本文重点介绍的最后一部分的DirectMidi包装类库,让执行先进的播放和混合音频功能的的DirectMusic - DirectX的API。在第一部分中,我们看到的DirectMusic处理基本的MIDI I / O操作,例如输入和输出端口,从一个端口到另一个thruing和下载DLS器械的。在这一部分中,我将给出一个基本的了解的DirectMusic通过的DirectMidi的类库的使用,同时同步多个音频波形文件得到充分的表现时,MIDI和波序列的空间定位,发送MIDI消息的表演并利用DLS
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-11-14
    • 文件大小:1212038
    • 提供者:dcc
  1. WAV_wave_form

    0下载:
  2. WAV的波形文件的显示,目前只做了对单声道录制的WAV文件的显示,-WAV waveform files show that at present only recording mono WAV files display
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-11-30
    • 文件大小:1329551
    • 提供者:梁军
  1. E4400-90651

    0下载:
  2. 下载安捷伦仪器波形文件的代码,打开源文件执行就可以了,配置下参数-Download Agilent instrument waveform file code, open source file execution, configuration parameters
  3. 所属分类:Software Testing

    • 发布日期:2017-11-03
    • 文件大小:641941
    • 提供者:egowell
  1. comtrade

    10下载:
  2. COMTRADE波形文件的自动生成程序和解析程序。-COMTRADE waveform file is automatically generated and parser.
  3. 所属分类:Other systems

    • 发布日期:2016-04-20
    • 文件大小:16384
    • 提供者:
  1. AsynchronismLoadPlayVoice

    0下载:
  2.  C#异步加载并播放声音波形文件,发现不能播放MP3啊,可能代码还有些问题,高手们帮忙修正一下。C#播放波形音乐文件的小例子。-C# asynchronous load and play a sound wave file, that can not play MP3 ah, you may code also some problems, experts to help fix it. C# play wave music files of small examples.
  3. 所属分类:CSharp

    • 发布日期:2017-11-19
    • 文件大小:14317
    • 提供者:tpudn47
  1. differentialencoding

    1下载:
  2. 该程序实现差分编码功能,是个完整的工程,解压完打开工程运行就行,仿真波形文件也在里面,可以直接进行仿真,编写软件是QuatusII9.0版本.-The program implements a differential encoding function, is a complete project, open the project decompression run on the line, also inside the simulation waveform files can be d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-11
    • 文件大小:236544
    • 提供者:汪赢光
  1. Wavettes

    0下载:
  2. 声音波形文件生成器VB源代码,有需要的就下载吧。-Sound wave file generator VB source code, there is a need to download it.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:169394
    • 提供者:清拌蟹肉
  1. dac7554

    0下载:
  2. dac7554控制模块,包含简单的testbench和debussy的仿真波形文件。-dac7554 control module contains a simple simulation testbench and debussy waveform files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14886
    • 提供者:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 40 »
搜珍网 www.dssz.com